Фото -
Нь
Хэдэн жилийн өмнө Intel компанийн инженерүүд
Хэдийгээр олон тооны туслах хэрэгслүүд байгаа ч инженерүүд зарим ажлыг гараар хийхээс өөр аргагүй байдаг. Номын зохиогчид "
Нарийвчилсан логик синтез "Тэд заримдаа дизайнерууд гэж хэлдэгхийх ёстой Номын сан үүсгэхийн тулд Skill эсвэл Python дээр хоёр сая мөр бүхий скрипт бичээрэйэсүүд .Мөн EDA системээр үүсгэсэн тайланг задлан шинжлэхийн тулд скриптүүдийг бичдэг. 22 нм процессын технологийг ашиглан чип боловсруулах үед эдгээр тайлангуудыг 30 терабайт хүртэл авч болно.
DARPA нөхцөл байдлыг засч, дизайны үйл явцыг стандартчилахаар шийдсэн. Агентлаг дээр ч гэсэн
Ямар программ вэ
Хөтөлбөр нь чип үүсгэх үе шатуудыг автоматжуулахын тулд машин сургалт, үүлэн технологийг ашигладаг хэд хэдэн төслийг хамардаг. Санаачилгын нэг хэсэг болгон
Flow Runner нь RTL болон GDSII номын санг удирдах хэрэгсэл юм. Сүүлийнх нь нэгдсэн хэлхээ ба тэдгээрийн топологийн талаарх мэдээлэл солилцох салбарын стандарт болох мэдээллийн сангийн файлууд юм. Энэхүү шийдэл нь Docker контейнер технологи дээр суурилдаг. Та Flow Runner-ийг үүлэн дотор болон дотоод байдлаар ажиллуулж болно. Суулгах гарын авлага нь албан ёсны санд байна
солих нь чип дээр бүрэлдэхүүн хэсгүүдийг байрлуулах, чиглүүлэлтийн автоматжуулалтыг хариуцдаг машин сургалтанд суурилсан үүлэн шийдэл юм. By
TritonCTS — чипэнд нийлүүлсэн цагийн импульсийг оновчтой болгох хэрэгсэл. Цагийн дохиог төхөөрөмжийн бүх хэсэгт ижил сааталтайгаар чиглүүлэхэд тусалдаг. Үйл ажиллагааны зарчим нь дээр суурилдаг
OpenSTA — статик цагийн дүн шинжилгээ хийх хөдөлгүүр. Энэ нь загвар зохион бүтээгчид чипийг угсарахаас өмнө түүний ажиллагааг шалгах боломжийг олгодог. OpenSTA дахь жишээ код
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
Энэхүү хэрэгсэл нь Verilog код, Liberty форматын номын сан, SDC файл гэх мэт сүлжээний жагсаалтын тайлбарыг дэмждэг.
Давуу болон дутагдалтай талууд
IBM болон IEEE-ийн мэргэжилтнүүд
Мөн OpenROAD-ийн нээлттэй шинж чанар нь хэрэгслүүдийн эргэн тойронд хүчирхэг нийгэмлэгийг бий болгож, шинэ стартапуудыг татах болно гэж найдаж байна.
Фото -
Мичиганы их сургуулийн дэргэдэх чип боловсруулах лабораторид аль хэдийн оролцогчид байгаа.
Ерөнхийдөө DARPA-ийн удирдлаган дор бүтээгдэж буй хэрэгслүүд нь процессорын үйлдвэрлэлд эерэг нөлөө үзүүлэх бөгөөд энэ чиглэлээр илүү олон шинэ төслүүд гарч ирэх болно. Жишээ нь хэрэгсэл байж болно
Үнэгүй ашиглах боломжтой хэрэгслүүд нь бие даасан байгууллагууд болон гарааны бизнесүүдэд илүү олон сонголтыг өгдөг. Цаг хугацаа өнгөрөхөд OpenROAD-ийн EDA хэрэгсэл боловсруулах, чип дизайн хийх шинэ хандлага нь салбарын стандарт болж магадгүй юм.
Корпорацийн блогтоо бид юу бичдэг вэ:
Хайрцагнаас задлах: Cisco UCS B480 M5 Blade сервер Хайрцагнаас задлах: бүх флаш хадгалах систем NetApp AFF A300 - дотроос нь харагдах Өндөр нягтралтай серверүүд: шийдлийн шинжилгээ Cisco UCS - хайрцгийг задлах
Эх сурвалж: www.habr.com