Хэлэлцүүлэг: OpenROAD төсөл нь процессорын дизайныг автоматжуулах асуудлыг шийдвэрлэх зорилготой юм

Хэлэлцүүлэг: OpenROAD төсөл нь процессорын дизайныг автоматжуулах асуудлыг шийдвэрлэх зорилготой юм
Фото - Pexels - CC BY

Нь өгөгдөл PWC, хагас дамжуулагч технологийн зах зээл өсч байна - өнгөрсөн онд энэ нь 481 тэрбум долларт хүрсэн. Харин сүүлийн үед түүний өсөлтийн хурд буурсан. Буурах шалтгаан нь төхөөрөмжийн дизайны үйл явцын төөрөгдөл, автоматжуулалт дутагдалтай байдаг.

Хэдэн жилийн өмнө Intel компанийн инженерүүд бичсэнӨндөр хүчин чадалтай микропроцессор бүтээхдээ та 100-150 тусдаа програм хангамжийн хэрэгслийг ашиглах ёстой (БА НАС). Архитектур нь ASIC, FPGA, CPU эсвэл GPU зэрэг хэд хэдэн төрлийн чип агуулсан янз бүрийн төхөөрөмжүүдийн хувьд нөхцөл байдлыг улам хүндрүүлж болно. Үүний үр дүнд дизайны алдаа гарч, бүтээгдэхүүн гаргахыг хойшлуулдаг.

Хэдийгээр олон тооны туслах хэрэгслүүд байгаа ч инженерүүд зарим ажлыг гараар хийхээс өөр аргагүй байдаг. Номын зохиогчид "Нарийвчилсан логик синтез"Тэд заримдаа дизайнерууд гэж хэлдэг хийх ёстой Номын сан үүсгэхийн тулд Skill эсвэл Python дээр хоёр сая мөр бүхий скрипт бичээрэй эсүүд.

Мөн EDA системээр үүсгэсэн тайланг задлан шинжлэхийн тулд скриптүүдийг бичдэг. 22 нм процессын технологийг ашиглан чип боловсруулах үед эдгээр тайлангуудыг 30 терабайт хүртэл авч болно.

DARPA нөхцөл байдлыг засч, дизайны үйл явцыг стандартчилахаар шийдсэн. Агентлаг дээр ч гэсэн бодохчип үүсгэх одоо байгаа аргууд хуучирсан. Байгууллага эхлүүлсэн таван жилийн хөтөлбөр Нээлттэй ЗАМ, чип дизайны үйл явцыг автоматжуулах шинэ хэрэгслүүдийг хөгжүүлэх зорилготой.

Ямар программ вэ

Хөтөлбөр нь чип үүсгэх үе шатуудыг автоматжуулахын тулд машин сургалт, үүлэн технологийг ашигладаг хэд хэдэн төслийг хамардаг. Санаачилгын нэг хэсэг болгон боловсруулж байна (диаграм 1) арав гаруй багаж. Дараа нь бид тэдгээрийн заримын талаар илүү дэлгэрэнгүй ярих болно: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner нь RTL болон GDSII номын санг удирдах хэрэгсэл юм. Сүүлийнх нь нэгдсэн хэлхээ ба тэдгээрийн топологийн талаарх мэдээлэл солилцох салбарын стандарт болох мэдээллийн сангийн файлууд юм. Энэхүү шийдэл нь Docker контейнер технологи дээр суурилдаг. Та Flow Runner-ийг үүлэн дотор болон дотоод байдлаар ажиллуулж болно. Суулгах гарын авлага нь албан ёсны санд байна GitHub дээр.

солих нь чип дээр бүрэлдэхүүн хэсгүүдийг байрлуулах, чиглүүлэлтийн автоматжуулалтыг хариуцдаг машин сургалтанд суурилсан үүлэн шийдэл юм. By зарим өгөгдөл, ухаалаг алгоритмууд нь сонгодог системтэй харьцуулахад хэрэгслийн үр ашгийг 2-10% -иар нэмэгдүүлдэг. Нэмж дурдахад үүлэн дээр хэрэгжүүлэх нь масштабыг илүү хялбар болгодог. Суурилуулалт, тохиргооны гарын авлагыг бас авах боломжтой хадгалах санд.

TritonCTS — чипэнд нийлүүлсэн цагийн импульсийг оновчтой болгох хэрэгсэл. Цагийн дохиог төхөөрөмжийн бүх хэсэгт ижил сааталтайгаар чиглүүлэхэд тусалдаг. Үйл ажиллагааны зарчим нь дээр суурилдаг H моднууд. Энэ хандлага нэмэгдэж байна уламжлалт аргуудтай харьцуулахад дохио түгээлтийн үр ашгийг 30% -иар нэмэгдүүлэх. Ирээдүйд энэ үзүүлэлтийг 56% хүртэл нэмэгдүүлэх боломжтой гэж хөгжүүлэгчид хэлж байна. TritonCTS эх код болон скриптүүд боломжтой GitHub дээр.

OpenSTA — статик цагийн дүн шинжилгээ хийх хөдөлгүүр. Энэ нь загвар зохион бүтээгчид чипийг угсарахаас өмнө түүний ажиллагааг шалгах боломжийг олгодог. OpenSTA дахь жишээ код шиг харагдаж байна гэдэг шиг.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Энэхүү хэрэгсэл нь Verilog код, Liberty форматын номын сан, SDC файл гэх мэт сүлжээний жагсаалтын тайлбарыг дэмждэг.

Давуу болон дутагдалтай талууд

IBM болон IEEE-ийн мэргэжилтнүүд тэмдэглэхүүлэн технологи, машин сургалтыг чип үйлдвэрлэлд ашиглахад удаж байна. Тэдний бодлоор DARPA төсөл нь энэхүү санааг хэрэгжүүлэх амжилттай жишээ болж чадна тавих болно салбарын өөрчлөлтийн эхлэл.

Мөн OpenROAD-ийн нээлттэй шинж чанар нь хэрэгслүүдийн эргэн тойронд хүчирхэг нийгэмлэгийг бий болгож, шинэ стартапуудыг татах болно гэж найдаж байна.

Хэлэлцүүлэг: OpenROAD төсөл нь процессорын дизайныг автоматжуулах асуудлыг шийдвэрлэх зорилготой юм
Фото - Pexels - CC BY

Мичиганы их сургуулийн дэргэдэх чип боловсруулах лабораторид аль хэдийн оролцогчид байгаа. анхных болно, OpenROAD нээлттэй эхийн хэрэгслийг хэн туршиж үзэх болно. Гэхдээ шинэ шийдэл нь эцсийн бүтээгдэхүүний өртөгт мэдэгдэхүйц нөлөө үзүүлэх эсэх нь одоогоор тодорхойгүй байна.

Ерөнхийдөө DARPA-ийн удирдлаган дор бүтээгдэж буй хэрэгслүүд нь процессорын үйлдвэрлэлд эерэг нөлөө үзүүлэх бөгөөд энэ чиглэлээр илүү олон шинэ төслүүд гарч ирэх болно. Жишээ нь хэрэгсэл байж болно geDA - энэ нь хязгааргүй тооны бүрэлдэхүүн хэсэг бүхий чипийг зохион бүтээх боломжийг танд олгоно. gEDA нь микро схем, самбарын чиглүүлэлтийн засварлах, загварчлах хэрэгслүүдийг агуулдаг. Энэхүү шийдэл нь UNIX платформуудад зориулагдсан боловч түүний хэд хэдэн бүрэлдэхүүн хэсэг нь Windows дээр ажилладаг. Тэдэнтэй ажиллах гарын авлагыг олж болно төслийн вэбсайт дээрх баримт бичигт.

Үнэгүй ашиглах боломжтой хэрэгслүүд нь бие даасан байгууллагууд болон гарааны бизнесүүдэд илүү олон сонголтыг өгдөг. Цаг хугацаа өнгөрөхөд OpenROAD-ийн EDA хэрэгсэл боловсруулах, чип дизайн хийх шинэ хандлага нь салбарын стандарт болж магадгүй юм.

Корпорацийн блогтоо бид юу бичдэг вэ:

Эх сурвалж: www.habr.com

сэтгэгдэл нэмэх