рдЪрд░реНрдЪрд╛: OpenROAD рдкреНрд░рдХрд▓реНрдк рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЭрд╛рдЗрдирдЪреНрдпрд╛ рдСрдЯреЛрдореЗрд╢рдирдЪреНрдпрд╛ рд╕рдорд╕реНрдпреЗрдЪреЗ рдирд┐рд░рд╛рдХрд░рдг рдХрд░рдгреНрдпрд╛рдЪрд╛ рд╣реЗрддреВ рдЖрд╣реЗ

рдЪрд░реНрдЪрд╛: OpenROAD рдкреНрд░рдХрд▓реНрдк рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЭрд╛рдЗрдирдЪреНрдпрд╛ рдСрдЯреЛрдореЗрд╢рдирдЪреНрдпрд╛ рд╕рдорд╕реНрдпреЗрдЪреЗ рдирд┐рд░рд╛рдХрд░рдг рдХрд░рдгреНрдпрд╛рдЪрд╛ рд╣реЗрддреВ рдЖрд╣реЗ
╨д╨╛╤В╨╛ - Pexels - рд╕реАрд╕реА рдмрд╛рдп

рджреНрд╡рд╛рд░рд╛ рджрд┐рд▓реЗ PWC, рдЕрд░реНрдзрд╕рдВрд╡рд╛рд╣рдХ рддрдВрддреНрд░рдЬреНрдЮрд╛рди рдмрд╛рдЬрд╛рд░ рд╡рд╛рдврдд рдЖрд╣реЗ - рдЧреЗрд▓реНрдпрд╛ рд╡рд░реНрд╖реА рддреЗ $481 рдЕрдмреНрдЬ рдкрд░реНрдпрдВрдд рдкреЛрд╣реЛрдЪрд▓реЗ. рдкрдг рддреНрдпрд╛рдЪрд╛ рд╡рд╛рдвреАрдЪрд╛ рджрд░ рдЕрд▓реАрдХрдбреЗ рдХрдореА. рдШрдЯ рд╣реЛрдгреНрдпрд╛рдЪреНрдпрд╛ рдХрд╛рд░рдгрд╛рдВрдкреИрдХреА рдбрд┐рд╡реНрд╣рд╛рдЗрд╕ рдбрд┐рдЭрд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпреЗрдЪреА рдЬрдЯрд┐рд▓рддрд╛ рдЖрдгрд┐ рдСрдЯреЛрдореЗрд╢рдирдЪрд╛ рдЕрднрд╛рд╡ рдЖрд╣реЗ.

рдХрд╛рд╣реА рд╡рд░реНрд╖рд╛рдВрдкреВрд░реНрд╡реА рдЗрдВрдЯреЗрд▓рдордзреАрд▓ рдЕрднрд┐рдпрдВрддреЗ рд▓рд┐рд╣рд┐рд▓реЗрдЙрдЪреНрдЪ-рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рдорд╛рдпрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ рддрдпрд╛рд░ рдХрд░рддрд╛рдирд╛ рддреБрдореНрд╣рд╛рд▓рд╛ 100-150 рд╕реНрд╡рддрдВрддреНрд░ рд╕реЙрдлреНрдЯрд╡реЗрдЕрд░ рдЯреВрд▓реНрд╕ рд╡рд╛рдкрд░рд╛рд╡реЗ рд▓рд╛рдЧрддреАрд▓ (рдИрдбреАрдП). рд╡рд┐рд╖рдо рдЙрдкрдХрд░рдгрд╛рдВрдЪреНрдпрд╛ рдмрд╛рдмрддреАрдд рдкрд░рд┐рд╕реНрдерд┐рддреА рдмрд┐рдШрдбреВ рд╢рдХрддреЗ, рдЬреНрдпрд╛рдЪреНрдпрд╛ рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░рдордзреНрдпреЗ рд╡рд┐рд╡рд┐рдз рдкреНрд░рдХрд╛рд░рдЪреНрдпрд╛ рдЪрд┐рдкреНрд╕ рд╕рдорд╛рд╡рд┐рд╖реНрдЯ рдЖрд╣реЗрдд - ASIC, FPGA, CPU рдХрд┐рдВрд╡рд╛ GPU. рдкрд░рд┐рдгрд╛рдореА, рдбрд┐рдЭрд╛рдЗрди рддреНрд░реБрдЯреА рдЙрджреНрднрд╡рддрд╛рдд рдЬреНрдпрд╛рдореБрд│реЗ рдЙрддреНрдкрд╛рджрдирд╛рдВрдЪреНрдпрд╛ рдкреНрд░рдХрд╛рд╢рдирд╛рд╕ рд╡рд┐рд▓рдВрдм рд╣реЛрддреЛ.

рдореЛрдареНрдпрд╛ рдкреНрд░рдорд╛рдгрд╛рдд рд╕рд╣рд╛рдпреНрдпрдХ рд╕рд╛рдзрдиреЗ рдЕрд╕реВрдирд╣реА, рдЕрднрд┐рдпрдВрддреНрдпрд╛рдВрдирд╛ рдЕрдЬреВрдирд╣реА рд╣рд╛рддрд╛рдиреЗ рдХрд╛рд╣реА рдХрд╛рдо рдХрд░рдгреНрдпрд╛рдЪреА рд╕рдХреНрддреА рдХреЗрд▓реА рдЬрд╛рддреЗ. рдкреБрд╕реНрддрдХрд╛рдЪреЗ рд▓реЗрдЦрдХ "рдкреНрд░рдЧрдд рддрд░реНрдХрд╢рд╛рд╕реНрддреНрд░ рд╕рдВрд╢реНрд▓реЗрд╖рдг"рддреЗ рдореНрд╣рдгрддрд╛рдд рдХреА рдХрдзреАрдХрдзреА рдбрд┐рдЭрд╛рдЗрдирд░ рдЖрд╣реЗ рд▓рд╛рдпрдмреНрд░рд░реА рддрдпрд╛рд░ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рджреЛрди рджрд╢рд▓рдХреНрд╖ рдУрд│реАрдВрдЪреНрдпрд╛ рд╕реНрдХрд┐рд▓ рдХрд┐рдВрд╡рд╛ рдкрд╛рдпрдердирдордзреНрдпреЗ рд╕реНрдХреНрд░рд┐рдкреНрдЯ рд▓рд┐рд╣рд╛ рдкреЗрд╢реА.

EDA рдкреНрд░рдгрд╛рд▓реАрджреНрд╡рд╛рд░реЗ рд╡реНрдпреБрддреНрдкрдиреНрди рдХреЗрд▓реЗрд▓реНрдпрд╛ рдЕрд╣рд╡рд╛рд▓рд╛рдВрдЪреЗ рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рд╕реНрдХреНрд░рд┐рдкреНрдЯ рджреЗрдЦреАрд▓ рд▓рд┐рд╣рд┐рд▓реНрдпрд╛ рдЬрд╛рддрд╛рдд. 22nm рдкреНрд░рдХреНрд░рд┐рдпрд╛ рддрдВрддреНрд░рдЬреНрдЮрд╛рди рд╡рд╛рдкрд░реВрди рдЪрд┐рдк рд╡рд┐рдХрд╕рд┐рдд рдХрд░рддрд╛рдирд╛, рд╣реЗ рдЕрд╣рд╡рд╛рд▓ 30 рдЯреЗрд░рд╛рдмрд╛рдЗрдЯреНрд╕ рдШреЗрдК рд╢рдХрддрд╛рдд.

DARPA рдиреЗ рдкрд░рд┐рд╕реНрдерд┐рддреА рджреБрд░реБрд╕реНрдд рдХрд░рдгреНрдпрд╛рдЪрд╛ рдирд┐рд░реНрдгрдп рдШреЗрддрд▓рд╛ рдЖрдгрд┐ рдбрд┐рдЭрд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдкреНрд░рдорд╛рдгрд┐рдд рдХрд░рдгреНрдпрд╛рдЪрд╛ рдкреНрд░рдпрддреНрди рдХреЗрд▓рд╛. рдПрдЬрдиреНрд╕реАрдордзреНрдпреЗрд╣реА рд╡рд┐рдЪрд╛рд░ рдХрд░рд╛рдЪрд┐рдкреНрд╕ рддрдпрд╛рд░ рдХрд░рдгреНрдпрд╛рдЪреНрдпрд╛ рд╡рд┐рджреНрдпрдорд╛рди рдкрджреНрдзрддреА рдЬреБрдиреНрдпрд╛ рдЭрд╛рд▓реНрдпрд╛ рдЖрд╣реЗрдд. рд╕рдВрдШрдЯрдирд╛ рд▓рд╛рдБрдЪ рдХреЗрд▓реЗ рдкрд╛рдЪ рд╡рд░реНрд╖рд╛рдВрдЪрд╛ рдХрд╛рд░реНрдпрдХреНрд░рдо рдореЛрдХрд│рд╛ рд░рд╕реНрддрд╛, рдЬреНрдпрд╛рдЪрд╛ рдЙрджреНрджреЗрд╢ рдЪрд┐рдк рдбрд┐рдЭрд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛ рд╕реНрд╡рдпрдВрдЪрд▓рд┐рдд рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рдирд╡реАрди рд╕рд╛рдзрдиреЗ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдгреЗ рдЖрд╣реЗ.

рдХрд╕рд▓рд╛ рдХрд╛рд░реНрдпрдХреНрд░рдо

рдкреНрд░реЛрдЧреНрд░рд╛рдордордзреНрдпреЗ рдЕрдиреЗрдХ рдкреНрд░рдХрд▓реНрдк рд╕рдорд╛рд╡рд┐рд╖реНрдЯ рдЖрд╣реЗрдд рдЬреЗ рдЪрд┐рдк рдирд┐рд░реНрдорд┐рддреАрдЪреНрдпрд╛ рд╡реИрдпрдХреНрддрд┐рдХ рдЯрдкреНрдкреНрдпрд╛рдВрдирд╛ рд╕реНрд╡рдпрдВрдЪрд▓рд┐рдд рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рдЖрдгрд┐ рдХреНрд▓рд╛рдЙрдб рддрдВрддреНрд░рдЬреНрдЮрд╛рдирд╛рдЪрд╛ рд╡рд╛рдкрд░ рдХрд░рддрд╛рдд. рдЙрдкрдХреНрд░рдорд╛рдЪрд╛ рдПрдХ рднрд╛рдЧ рдореНрд╣рдгреВрди рд╡рд┐рдХрд╕рд┐рдд рдХреЗрд▓реЗ рдЬрд╛рдд рдЖрд╣реЗрдд (рдЖрдХреГрддреА 1) рджрд╣рд╛ рдкреЗрдХреНрд╖рд╛ рдЬрд╛рд╕реНрдд рдЙрдкрдХрд░рдгреЗ. рдкреБрдвреЗ рдЖрдореНрд╣реА рддреНрдпрд╛рдкреИрдХреА рдХрд╛рд╣реАрдВрдмрджреНрджрд▓ рдЕрдзрд┐рдХ рддрдкрд╢реАрд▓рд╡рд╛рд░ рдмреЛрд▓реВ: рдлреНрд▓реЛ рд░рдирд░, рд░рд┐рдкреНрд▓реЗрд╕, рдЯреНрд░рд╛рдпрдЯрдирд╕реАрдЯреАрдПрд╕, рдУрдкрдирд╕реНрдЯрд╛.

рдлреНрд▓реЛ рд░рдирд░ RTL рдЖрдгрд┐ GDSII рд▓рд╛рдпрдмреНрд░рд░реА рд╡реНрдпрд╡рд╕реНрдерд╛рдкрд┐рдд рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рдПрдХ рд╕рд╛рдзрди рдЖрд╣реЗ. рдирдВрддрд░рдЪреНрдпрд╛ рдбреЗрдЯрд╛рдмреЗрд╕ рдлрд╛рдЗрд▓реНрд╕ рдЖрд╣реЗрдд рдЬреНрдпрд╛ рдПрдХрд╛рддреНрдорд┐рдХ рд╕рд░реНрдХрд┐рдЯреНрд╕ рдЖрдгрд┐ рддреНрдпрд╛рдВрдЪреНрдпрд╛ рдЯреЛрдкреЛрд▓реЙрдЬреАрдЬрдмрджреНрджрд▓ рдорд╛рд╣рд┐рддреАрдЪреА рджреЗрд╡рд╛рдгрдШреЗрд╡рд╛рдг рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рдЙрджреНрдпреЛрдЧ рдорд╛рдирдХ рдЖрд╣реЗрдд. рд╕рдорд╛рдзрд╛рди рдбреЙрдХрд░ рдХрдВрдЯреЗрдирд░ рддрдВрддреНрд░рдЬреНрдЮрд╛рдирд╛рд╡рд░ рдЖрдзрд╛рд░рд┐рдд рдЖрд╣реЗ. рддреБрдореНрд╣реА рдлреНрд▓реЛ рд░рдирд░ рдХреНрд▓рд╛рдЙрдбрдордзреНрдпреЗ рдЖрдгрд┐ рд╕реНрдерд╛рдирд┐рдХ рдкрд╛рддрд│реАрд╡рд░ рдЪрд╛рд▓рд╡реВ рд╢рдХрддрд╛. рд╕реНрдерд╛рдкрдирд╛ рдорд╛рд░реНрдЧрджрд░реНрд╢рдХ рдЕрдзрд┐рдХреГрдд рднрд╛рдВрдбрд╛рд░рд╛рдд рдЖрд╣реЗ GitHub рд╡рд░.

RePlAce рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧрд╡рд░ рдЖрдзрд╛рд░рд┐рдд рдХреНрд▓рд╛рдЙрдб рд╕реЛрд▓реНрдпреВрд╢рди рдЖрд╣реЗ, рдЬреЗ рдЪрд┐рдкрд╡рд░ рдШрдЯрдХ рдареЗрд╡рдгреНрдпрд╛рд╕рд╛рдареА рдЖрдгрд┐ рд░реВрдЯрд┐рдВрдЧ рд╕реНрд╡рдпрдВрдЪрд▓рд┐рдд рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рдЬрдмрд╛рдмрджрд╛рд░ рдЖрд╣реЗ. рджреНрд╡рд╛рд░реЗ рдХрд╛рд╣реА рдбреЗрдЯрд╛, рдмреБрджреНрдзрд┐рдорд╛рди рдЕрд▓реНрдЧреЛрд░рд┐рджрдо рд╢рд╛рд╕реНрддреНрд░реАрдп рдкреНрд░рдгрд╛рд▓реАрдВрдЪреНрдпрд╛ рддреБрд▓рдиреЗрдд рдЯреВрд▓рдЪреА рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ 2-10% рд╡рд╛рдврд╡рддрд╛рдд. рдпрд╛рд╡реНрдпрддрд┐рд░рд┐рдХреНрдд, рдХреНрд▓рд╛рдЙрдбрдордзреНрдпреЗ рдЕрдВрдорд▓рдмрдЬрд╛рд╡рдгреА рд╕реНрдХреЗрд▓рд┐рдВрдЧ рд╕реБрд▓рдн рдХрд░рддреЗ. рд╕реНрдерд╛рдкрдирд╛ рдЖрдгрд┐ рдХреЙрдиреНрдлрд┐рдЧрд░реЗрд╢рди рдорд╛рд░реНрдЧрджрд░реНрд╢рдХ рджреЗрдЦреАрд▓ рдЙрдкрд▓рдмреНрдз рдЖрд╣реЗ рднрд╛рдВрдбрд╛рд░рд╛рдд.

рдЯреНрд░рд╛рдпрдЯрдирд╕реАрдЯреАрдПрд╕ - рдЪрд┐рдкрд▓рд╛ рдкреБрд░рд╡рд▓реЗрд▓реНрдпрд╛ рдШрдбреНрдпрд╛рд│рд╛рдЪреНрдпрд╛ рдбрд╛рд│реАрдВрдирд╛ рдЕрдиреБрдХреВрд▓ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рдЙрдкрдпреБрдХреНрддрддрд╛. рд╕рд╛рд░рдЦреНрдпрд╛рдЪ рд╡рд┐рд▓рдВрдмрд╛рдиреЗ рдбрд┐рд╡реНрд╣рд╛рдЗрд╕рдЪреНрдпрд╛ рд╕рд░реНрд╡ рднрд╛рдЧрд╛рдВрдирд╛ рдШрдбреНрдпрд╛рд│ рд╕рд┐рдЧреНрдирд▓ рдорд╛рд░реНрдЧреА рд▓рд╛рд╡рдгреНрдпрд╛рд╕ рдорджрдд рдХрд░рддреЗ. рдСрдкрд░реЗрдЯрд┐рдВрдЧ рддрддреНрддреНрд╡рд╛рд╡рд░ рдЖрдзрд╛рд░рд┐рдд рдЖрд╣реЗ рдПрдЪ-рдЭрд╛рдбреЗ. рд╣рд╛ рджреГрд╖реНрдЯрд┐рдХреЛрди рд╡рд╛рдврд╡рддреЗ рдкрд╛рд░рдВрдкрд╛рд░рд┐рдХ рдкрджреНрдзрддреАрдВрдЪреНрдпрд╛ рддреБрд▓рдиреЗрдд рд╕рд┐рдЧреНрдирд▓ рд╡рд┐рддрд░рдг рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ 30% рдиреЗ. рд╡рд┐рдХрд╛рд╕рдХрд╛рдВрдЪреЗ рдореНрд╣рдгрдгреЗ рдЖрд╣реЗ рдХреА рднрд╡рд┐рд╖реНрдпрд╛рдд рд╣рд╛ рдЖрдХрдбрд╛ 56% рдкрд░реНрдпрдВрдд рд╡рд╛рдврд╡рд┐рд▓рд╛ рдЬрд╛рдК рд╢рдХрддреЛ. рдЯреНрд░рд╛рдпрдЯрдирд╕реАрдЯреАрдПрд╕ рд╕реНрддреНрд░реЛрдд рдХреЛрдб рдЖрдгрд┐ рд╕реНрдХреНрд░рд┐рдкреНрдЯ рдЙрдкрд▓рдмреНрдз рдЖрд╣реЗрдд GitHub рд╡рд░.

OpenSTA - рд╕реНрдерд┐рд░ рд╡реЗрд│ рд╡рд┐рд╢реНрд▓реЗрд╖рдгрд╛рд╕рд╛рдареА рдЗрдВрдЬрд┐рди. рд╣реЗ рдбрд┐рдЭрд╛рдпрдирд░рд▓рд╛ рдЪрд┐рдк рдкреНрд░рддреНрдпрдХреНрд╖рд╛рдд рдПрдХрддреНрд░ рдХрд░рдгреНрдпрд╛рдкреВрд░реНрд╡реА рддреНрдпрд╛рдЪреА рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рддрдкрд╛рд╕рдгреНрдпрд╛рдЪреА рд╕рдВрдзреА рджреЗрддреЗ. OpenSTA рдордзреАрд▓ рдЙрджрд╛рд╣рд░рдг рдХреЛрдб рдЕрд╕реЗ рджрд┐рд╕рддреЗ рдЖрд╣реЗ рдХреА рдпрд╛рд╕рд╛рд░рдЦреЗ

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

рдпреБрдЯрд┐рд▓рд┐рдЯреА рд╡реНрд╣реЗрд░рд┐рд▓реЙрдЧ рдХреЛрдб, рд▓рд┐рдмрд░реНрдЯреА рдлреЙрд░рдореЕрдЯ рд▓рд╛рдпрдмреНрд░рд░реА, рдПрд╕рдбреАрд╕реА рдлрд╛рдЗрд▓реНрд╕ рдЗрддреНрдпрд╛рджреАрдВрдЪреНрдпрд╛ рдиреЗрдЯрд▓рд┐рд╕реНрдЯ рд╡рд░реНрдгрдирд╛рдВрдирд╛ рд╕рдорд░реНрдерди рджреЗрддреЗ.

рдлрд╛рдпрджреЗ рдЖрдгрд┐ рддреЛрдЯреЗ

IBM рдЖрдгрд┐ IEEE рдордзреАрд▓ рддрдЬреНрдЮ рд╕рд╛рдЬрд░рд╛ рдХрд░рд╛рдЪрд┐рдк рдЙрддреНрдкрд╛рджрдирд╛рдд рд╡рд╛рдкрд░рдгреНрдпрд╛рд╕рд╛рдареА рдХреНрд▓рд╛рдЙрдб рддрдВрддреНрд░рдЬреНрдЮрд╛рди рдЖрдгрд┐ рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рджреАрд░реНрдШрдХрд╛рд│рд╛рдкрд╛рд╕реВрди рдмрд╛рдХреА рдЖрд╣реЗрдд. рддреНрдпрд╛рдВрдЪреНрдпрд╛ рдорддреЗ, DARPA рдкреНрд░рдХрд▓реНрдк рдпрд╛ рдХрд▓реНрдкрдиреЗрдЪреНрдпрд╛ рдЕрдВрдорд▓рдмрдЬрд╛рд╡рдгреАрдЪреЗ рдПрдХ рдпрд╢рд╕реНрд╡реА рдЙрджрд╛рд╣рд░рдг рдмрдиреВ рд╢рдХрддреЗ рдЖрдгрд┐ рдЯрд╛рдХреЗрд▓ рдЙрджреНрдпреЛрдЧрд╛рддреАрд▓ рдмрджрд▓рд╛рдВрдЪреА рд╕реБрд░реБрд╡рд╛рдд.

OpenROAD рдЪреЗ рдЦреБрд▓реЗ рд╕реНрд╡рд░реВрдк рдЯреВрд▓реНрд╕рднреЛрд╡рддреА рдПрдХ рд╢рдХреНрддрд┐рд╢рд╛рд▓реА рд╕рдореБрджрд╛рдп рддрдпрд╛рд░ рдХрд░реЗрд▓ рдЖрдгрд┐ рдирд╡реАрди рд╕реНрдЯрд╛рд░реНрдЯрдЕрдкреНрд╕рдирд╛ рдЖрдХрд░реНрд╖рд┐рдд рдХрд░реЗрд▓ рдЕрд╢реА рдЕрдкреЗрдХреНрд╖рд╛ рдЖрд╣реЗ.

рдЪрд░реНрдЪрд╛: OpenROAD рдкреНрд░рдХрд▓реНрдк рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЭрд╛рдЗрдирдЪреНрдпрд╛ рдСрдЯреЛрдореЗрд╢рдирдЪреНрдпрд╛ рд╕рдорд╕реНрдпреЗрдЪреЗ рдирд┐рд░рд╛рдХрд░рдг рдХрд░рдгреНрдпрд╛рдЪрд╛ рд╣реЗрддреВ рдЖрд╣реЗ
╨д╨╛╤В╨╛ - Pexels - рд╕реАрд╕реА рдмрд╛рдп

рддреЗрдереЗ рдЖрдзреАрдкрд╛рд╕реВрдирдЪ рд╕рд╣рднрд╛рдЧреА рдЖрд╣реЗрдд - рдорд┐рд╢рд┐рдЧрди рд╡рд┐рджреНрдпрд╛рдкреАрдард╛рд╡рд░ рдЖрдзрд╛рд░рд┐рдд рдЪрд┐рдкреНрд╕ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдгрд╛рд░реА рдкреНрд░рдпреЛрдЧрд╢рд╛рд│рд╛, рдкреНрд░рдердо рдЕрд╕реЗрд▓, рдЬреЛ OpenROAD рдореБрдХреНрдд рд╕реНрд░реЛрдд рд╕рд╛рдзрдирд╛рдВрдЪреА рдЪрд╛рдЪрдгреА рдХрд░реЗрд▓. рдкрд░рдВрддреБ рдирд╡реАрди рд╕реЛрд▓реНрдпреВрд╢рдиреНрд╕ рдЕрдВрддрд┐рдо рдЙрддреНрдкрд╛рджрдирд╛рдВрдЪреНрдпрд╛ рдХрд┐рдВрдорддреАрд╡рд░ рд▓рдХреНрд╖рдгреАрдп рдкрд░рд┐рдгрд╛рдо рдХрд░рдгреНрдпрд╛рд╕ рд╕рдХреНрд╖рдо рдЕрд╕рддреАрд▓ рдХреА рдирд╛рд╣реА рд╣реЗ рдЕрджреНрдпрд╛рдк рдорд╛рд╣рд┐рдд рдирд╛рд╣реА.

рдПрдХреВрдгрдЪ, DARPA рдЪреНрдпрд╛ рдиреЗрддреГрддреНрд╡рд╛рдЦрд╛рд▓реА рд╡рд┐рдХрд╕рд┐рдд рд╣реЛрдд рдЕрд╕рд▓реЗрд▓реНрдпрд╛ рд╕рд╛рдзрдирд╛рдВрдЪрд╛ рдкреНрд░реЛрд╕реЗрд╕рд░ рдЙрджреНрдпреЛрдЧрд╛рд╡рд░ рд╕рдХрд╛рд░рд╛рддреНрдордХ рдкрд░рд┐рдгрд╛рдо рд╣реЛрдгреНрдпрд╛рдЪреА рдЕрдкреЗрдХреНрд╖рд╛ рдЖрд╣реЗ рдЖрдгрд┐ рдпрд╛ рдХреНрд╖реЗрддреНрд░рд╛рдд рдЖрдгрдЦреА рдирд╡реАрди рдкреНрд░рдХрд▓реНрдк рдЙрджрдпрд╛рд╕ рдпреЗрдК рд▓рд╛рдЧрддреАрд▓. рдПрдХ рдЙрджрд╛рд╣рд░рдг рдПрдХ рд╕рд╛рдзрди рдЕрд╕реЗрд▓ рдЧреЗрдбрд╛ тАФ рд╣реЗ рддреБрдореНрд╣рд╛рд▓рд╛ рдЕрдорд░реНрдпрд╛рджрд┐рдд рдШрдЯрдХрд╛рдВрд╕рд╣ рдЪрд┐рдкреНрд╕ рдбрд┐рдЭрд╛рдЗрди рдХрд░рдгреНрдпрд╛рдЪреА рдкрд░рд╡рд╛рдирдЧреА рджреЗрддреЗ. gEDA рдордзреНрдпреЗ рдорд╛рдпрдХреНрд░реЛ рд╕рд░реНрдХрд┐рдЯреНрд╕ рдЖрдгрд┐ рдмреЛрд░реНрдб рд░реВрдЯрд┐рдВрдЧ рд╕рдВрдкрд╛рджрд┐рдд рдЖрдгрд┐ рдореЙрдбреЗрд▓рд┐рдВрдЧрд╕рд╛рдареА рдЙрдкрдпреБрдХреНрддрддрд╛ рд╕рдорд╛рд╡рд┐рд╖реНрдЯ рдЖрд╣реЗ. рд╣реЗ рд╕реЛрд▓реНрдпреВрд╢рди UNIX рдкреНрд▓реЕрдЯрдлреЙрд░реНрдорд╕рд╛рдареА рд╡рд┐рдХрд╕рд┐рдд рдХреЗрд▓реЗ рдЧреЗрд▓реЗ рд╣реЛрддреЗ, рдкрд░рдВрддреБ рддреНрдпрд╛рдЪреЗ рдЕрдиреЗрдХ рдШрдЯрдХ Windows рдЕрдВрддрд░реНрдЧрдд рджреЗрдЦреАрд▓ рдХрд╛рд░реНрдп рдХрд░рддрд╛рдд. рддреНрдпрд╛рдВрдЪреНрдпрд╛рд╕реЛрдмрдд рдХрд╛рдо рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рдорд╛рд░реНрдЧрджрд░реНрд╢рдХ рд╕рд╛рдкрдбреЗрд▓ рдкреНрд░рдХрд▓реНрдкрд╛рдЪреНрдпрд╛ рд╡реЗрдмрд╕рд╛рдЗрдЯрд╡рд░реАрд▓ рджрд╕реНрддрдРрд╡рдЬреАрдХрд░рдгрд╛рдд.

рдореБрдХреНрддрдкрдгреЗ рдЙрдкрд▓рдмреНрдз рдЕрд╕рд▓реЗрд▓реА рд╕рд╛рдзрдиреЗ рд╕реНрд╡рддрдВрддреНрд░ рд╕рдВрд╕реНрдерд╛ рдЖрдгрд┐ рд╕реНрдЯрд╛рд░реНрдЯрдЕрдкреНрд╕рдирд╛ рдЕрдзрд┐рдХ рдкрд░реНрдпрд╛рдп рджреЗрддрд╛рдд. рд╣реЗ рд╢рдХреНрдп рдЖрд╣реЗ рдХреА рдХрд╛рд▓рд╛рдВрддрд░рд╛рдиреЗ, EDA рдЯреВрд▓ рдбреЗрд╡реНрд╣рд▓рдкрдореЗрдВрдЯ рдЖрдгрд┐ рдЪрд┐рдк рдбрд┐рдЭрд╛рдЗрдирд╕рд╛рдареА OpenROAD рдЪреЗ рдирд╡реАрди рджреГрд╖реНрдЯрд┐рдХреЛрди рдЙрджреНрдпреЛрдЧ рдорд╛рдирдХ рдмрдиреВ рд╢рдХрддрд╛рдд.

рдЖрдореНрд╣реА рдЖрдордЪреНрдпрд╛ рдХреЙрд░реНрдкреЛрд░реЗрдЯ рдмреНрд▓реЙрдЧрдордзреНрдпреЗ рдХрд╛рдп рд▓рд┐рд╣рд┐рддреЛ:

рд╕реНрддреНрд░реЛрдд: www.habr.com

рдПрдХ рдЯрд┐рдкреНрдкрдгреА рдЬреЛрдбрд╛