DPI इंटरफेस आणि FPGA बोर्ड द्वारे रास्पबेरी Pi3 ला दुसरा HDMI मॉनिटर


हा व्हिडिओ दर्शवितो: रास्पबेरी Pi3 बोर्ड, GPIO कनेक्टरद्वारे त्यास जोडलेला एक FPGA बोर्ड Mars Rover2rpi (सायक्लोन IV) आहे, ज्याला HDMI मॉनिटर जोडलेला आहे. दुसरा मॉनिटर रास्पबेरी Pi3 च्या मानक HDMI कनेक्टरद्वारे जोडलेला आहे. सर्व काही ड्युअल मॉनिटर सिस्टमसारखे एकत्र कार्य करते.

हे कसे अंमलात आणले जाते ते मी पुढे सांगेन.

लोकप्रिय रास्पबेरी Pi3 बोर्डमध्ये एक GPIO कनेक्टर आहे ज्याद्वारे आपण विविध विस्तार कार्ड कनेक्ट करू शकता: सेन्सर्स, LEDs, स्टेपर मोटर ड्रायव्हर्स आणि बरेच काही. कनेक्टरवरील प्रत्येक पिनचे अचूक कार्य पोर्ट कॉन्फिगरेशनवर अवलंबून असते. GPIO ALT2 कॉन्फिगरेशन तुम्हाला कनेक्टरला DPI इंटरफेस मोड, डिस्प्ले पॅरलल इंटरफेसवर स्विच करण्याची परवानगी देते. VGA मॉनिटर्स DPI द्वारे जोडण्यासाठी विस्तार कार्ड आहेत. तथापि, प्रथम, व्हीजीए मॉनिटर्स आता एचडीएमआयसारखे सामान्य नाहीत आणि दुसरे म्हणजे, डिजिटल इंटरफेस अॅनालॉगपेक्षा अधिक चांगला आहे. शिवाय, अशा VGA विस्तार बोर्डांवरील DAC सहसा R-2-R चेनच्या स्वरूपात बनवले जाते आणि बहुतेकदा प्रति रंग 6 बिट्सपेक्षा जास्त नसते.

ALT2 मोडमध्ये, GPIO कनेक्टर पिनचा खालील अर्थ आहे:

DPI इंटरफेस आणि FPGA बोर्ड द्वारे रास्पबेरी Pi3 ला दुसरा HDMI मॉनिटर

येथे मी कनेक्टरच्या RGB पिनला अनुक्रमे लाल, हिरवा आणि निळा रंग दिला आहे. इतर महत्त्वाचे सिग्नल म्हणजे V-SYNC आणि H-SYNC सिग्नल, तसेच CLK. CLK घड्याळ वारंवारता ही वारंवारता असते ज्यावर कनेक्टरवर पिक्सेल मूल्ये आउटपुट केली जातात; ती निवडलेल्या व्हिडिओ मोडवर अवलंबून असते.

डिजिटल HDMI मॉनिटर कनेक्ट करण्यासाठी, तुम्हाला इंटरफेसचे DPI सिग्नल कॅप्चर करणे आणि त्यांना HDMI सिग्नलमध्ये रूपांतरित करणे आवश्यक आहे. हे केले जाऊ शकते, उदाहरणार्थ, काही प्रकारचे FPGA बोर्ड वापरून. असे दिसून आले की, मार्स रोव्हर2आरपीआय बोर्ड या उद्देशांसाठी योग्य आहे. खरं तर, हा बोर्ड एका विशेष अॅडॉप्टरद्वारे जोडण्याचा मुख्य पर्याय यासारखा दिसतो:

DPI इंटरफेस आणि FPGA बोर्ड द्वारे रास्पबेरी Pi3 ला दुसरा HDMI मॉनिटर

हा बोर्ड GPIO पोर्टची संख्या वाढवण्यासाठी आणि रास्पबेरीला अधिक परिधीय उपकरणे जोडण्यासाठी वापरला जातो. त्याच वेळी, या कनेक्शनसह 4 GPIO सिग्नल JTAG सिग्नलसाठी वापरले जातात, जेणेकरून रास्पबेरीचा प्रोग्राम FPGA फर्मवेअर FPGA मध्ये लोड करू शकेल. यामुळे, हे मानक कनेक्शन माझ्यासाठी अनुकूल नाही; 4 DPI सिग्नल बाहेर पडतात. सुदैवाने, बोर्डवरील अतिरिक्त कॉम्ब्समध्ये रास्पबेरी-सुसंगत पिनआउट आहे. म्हणून मी बोर्ड 90 अंश फिरवू शकतो आणि तरीही ते माझ्या रास्पबेरीशी जोडू शकतो:

DPI इंटरफेस आणि FPGA बोर्ड द्वारे रास्पबेरी Pi3 ला दुसरा HDMI मॉनिटर

अर्थात, तुम्हाला बाह्य JTAG प्रोग्रामर वापरावे लागेल, परंतु ही समस्या नाही.

अजूनही एक छोटीशी अडचण आहे. प्रत्येक FPGA पिन घड्याळ इनपुट म्हणून वापरता येत नाही. या उद्देशांसाठी फक्त काही समर्पित पिन वापरल्या जाऊ शकतात. त्यामुळे येथे असे दिसून आले की GPIO_0 CLK सिग्नल FPGA इनपुटपर्यंत पोहोचत नाही, जे FPGA घड्याळ इनपुट म्हणून वापरले जाऊ शकते. त्यामुळे मला अजून एक वायर स्कार्फवर ठेवायची होती. मी GPIO_0 आणि बोर्डचा KEY[1] सिग्नल जोडतो:

DPI इंटरफेस आणि FPGA बोर्ड द्वारे रास्पबेरी Pi3 ला दुसरा HDMI मॉनिटर

आता मी तुम्हाला FPGA प्रकल्पाबद्दल थोडेसे सांगेन. एचडीएमआय सिग्नल व्युत्पन्न करण्यात मुख्य अडचण खूप उच्च वारंवारता आहे. तुम्ही एचडीएमआय कनेक्टर पिनआउट पाहिल्यास, तुम्ही पाहू शकता की आरजीबी सिग्नल आता सीरियल डिफरेंशियल सिग्नल आहेत:

DPI इंटरफेस आणि FPGA बोर्ड द्वारे रास्पबेरी Pi3 ला दुसरा HDMI मॉनिटर

विभेदक सिग्नलचा वापर आपल्याला ट्रान्समिशन लाइनवरील सामान्य मोड हस्तक्षेपाचा सामना करण्यास अनुमती देतो. या प्रकरणात, प्रत्येक रंग सिग्नलचा मूळ आठ-बिट कोड 10-बिट TMDS (ट्रान्झिशन-मिनिमाइज्ड डिफरेंशियल सिग्नलिंग) मध्ये रूपांतरित केला जातो. सिग्नलमधून DC घटक काढून टाकण्यासाठी आणि विभेदक ओळीत सिग्नल स्विचिंग कमी करण्यासाठी ही एक विशेष कोडिंग पद्धत आहे. रंगाच्या एका बाइटसाठी आता 10 बिट्स सिरीयल लाइनवर प्रसारित करणे आवश्यक असल्याने, असे दिसून आले की सिरीयलायझर घड्याळ गती पिक्सेल घड्याळ गतीपेक्षा 10 पट जास्त असणे आवश्यक आहे. जर आपण व्हिडिओ मोड 1280x720 60Hz उदाहरणार्थ घेतले तर या मोडची पिक्सेल वारंवारता 74,25 MHz आहे. अनुक्रमांक ७४२.५ मेगाहर्ट्झ असावा.

नियमित FPGAs, दुर्दैवाने, यासाठी सक्षम नाहीत. तथापि, सुदैवाने आमच्यासाठी, FPGA मध्ये अंगभूत DDIO पिन आहेत. हे असे निष्कर्ष आहेत जे आधीपासून आहेत, जसे की 2-टू-1 सीरियलायझर्स. म्हणजेच, ते घड्याळाच्या वारंवारतेच्या वाढत्या आणि घसरलेल्या कडांवर अनुक्रमे दोन बिट आउटपुट करू शकतात. याचा अर्थ असा की FPGA प्रकल्पामध्ये तुम्ही 740 MHz नव्हे तर 370 MHz वापरू शकता, परंतु तुम्हाला FPGA मध्ये DDIO आउटपुट घटक वापरण्याची आवश्यकता आहे. आता 370 मेगाहर्ट्झ आधीच एक पूर्णपणे साध्य करण्यायोग्य वारंवारता आहे. दुर्दैवाने, 1280x720 मोड ही मर्यादा आहे. मार्स रोव्हर2आरपीआय बोर्डवर स्थापित केलेल्या आमच्या चक्रीवादळ IV FPGA मध्ये उच्च रिझोल्यूशन प्राप्त केले जाऊ शकत नाही.

तर, डिझाइनमध्ये, इनपुट पिक्सेल वारंवारता CLK PLL कडे जाते, जिथे ते 5 ने गुणाकार केले जाते. या वारंवारतेवर, R, G, B बाइट्स बिट जोड्यांमध्ये रूपांतरित होतात. TMDS एन्कोडर हेच करतो. व्हेरिलॉग एचडीएल मधील स्त्रोत कोड असे दिसते:

module hdmi(
	input wire pixclk,		// 74MHz
	input wire clk_TMDS2,	// 370MHz
	input wire hsync,
	input wire vsync,
	input wire active,
	input wire [7:0]red,
	input wire [7:0]green,
	input wire [7:0]blue,
	output wire TMDS_bh,
	output wire TMDS_bl,
	output wire TMDS_gh,
	output wire TMDS_gl,
	output wire TMDS_rh,
	output wire TMDS_rl
);

wire [9:0] TMDS_red, TMDS_green, TMDS_blue;
TMDS_encoder encode_R(.clk(pixclk), .VD(red  ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_red));
TMDS_encoder encode_G(.clk(pixclk), .VD(green), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_green));
TMDS_encoder encode_B(.clk(pixclk), .VD(blue ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_blue));

reg [2:0] TMDS_mod5=0;  // modulus 5 counter
reg [4:0] TMDS_shift_bh=0, TMDS_shift_bl=0;
reg [4:0] TMDS_shift_gh=0, TMDS_shift_gl=0;
reg [4:0] TMDS_shift_rh=0, TMDS_shift_rl=0;

wire [4:0] TMDS_blue_l  = {TMDS_blue[9],TMDS_blue[7],TMDS_blue[5],TMDS_blue[3],TMDS_blue[1]};
wire [4:0] TMDS_blue_h  = {TMDS_blue[8],TMDS_blue[6],TMDS_blue[4],TMDS_blue[2],TMDS_blue[0]};
wire [4:0] TMDS_green_l = {TMDS_green[9],TMDS_green[7],TMDS_green[5],TMDS_green[3],TMDS_green[1]};
wire [4:0] TMDS_green_h = {TMDS_green[8],TMDS_green[6],TMDS_green[4],TMDS_green[2],TMDS_green[0]};
wire [4:0] TMDS_red_l   = {TMDS_red[9],TMDS_red[7],TMDS_red[5],TMDS_red[3],TMDS_red[1]};
wire [4:0] TMDS_red_h   = {TMDS_red[8],TMDS_red[6],TMDS_red[4],TMDS_red[2],TMDS_red[0]};

always @(posedge clk_TMDS2)
begin
	TMDS_shift_bh <= TMDS_mod5[2] ? TMDS_blue_h  : TMDS_shift_bh  [4:1];
	TMDS_shift_bl <= TMDS_mod5[2] ? TMDS_blue_l  : TMDS_shift_bl  [4:1];
	TMDS_shift_gh <= TMDS_mod5[2] ? TMDS_green_h : TMDS_shift_gh  [4:1];
	TMDS_shift_gl <= TMDS_mod5[2] ? TMDS_green_l : TMDS_shift_gl  [4:1];
	TMDS_shift_rh <= TMDS_mod5[2] ? TMDS_red_h   : TMDS_shift_rh  [4:1];
	TMDS_shift_rl <= TMDS_mod5[2] ? TMDS_red_l   : TMDS_shift_rl  [4:1];
	TMDS_mod5 <= (TMDS_mod5[2]) ? 3'd0 : TMDS_mod5+3'd1;
end

assign TMDS_bh = TMDS_shift_bh[0];
assign TMDS_bl = TMDS_shift_bl[0];
assign TMDS_gh = TMDS_shift_gh[0];
assign TMDS_gl = TMDS_shift_gl[0];
assign TMDS_rh = TMDS_shift_rh[0];
assign TMDS_rl = TMDS_shift_rl[0];

endmodule

module TMDS_encoder(
	input clk,
	input [7:0] VD,	// video data (red, green or blue)
	input [1:0] CD,	// control data
	input VDE,  	// video data enable, to choose between CD (when VDE=0) and VD (when VDE=1)
	output reg [9:0] TMDS = 0
);

wire [3:0] Nb1s = VD[0] + VD[1] + VD[2] + VD[3] + VD[4] + VD[5] + VD[6] + VD[7];
wire XNOR = (Nb1s>4'd4) || (Nb1s==4'd4 && VD[0]==1'b0);
wire [8:0] q_m = {~XNOR, q_m[6:0] ^ VD[7:1] ^ {7{XNOR}}, VD[0]};

reg [3:0] balance_acc = 0;
wire [3:0] balance = q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7] - 4'd4;
wire balance_sign_eq = (balance[3] == balance_acc[3]);
wire invert_q_m = (balance==0 || balance_acc==0) ? ~q_m[8] : balance_sign_eq;
wire [3:0] balance_acc_inc = balance - ({q_m[8] ^ ~balance_sign_eq} & ~(balance==0 || balance_acc==0));
wire [3:0] balance_acc_new = invert_q_m ? balance_acc-balance_acc_inc : balance_acc+balance_acc_inc;
wire [9:0] TMDS_data = {invert_q_m, q_m[8], q_m[7:0] ^ {8{invert_q_m}}};
wire [9:0] TMDS_code = CD[1] ? (CD[0] ? 10'b1010101011 : 10'b0101010100) : (CD[0] ? 10'b0010101011 : 10'b1101010100);

always @(posedge clk) TMDS <= VDE ? TMDS_data : TMDS_code;
always @(posedge clk) balance_acc <= VDE ? balance_acc_new : 4'h0;

endmodule

नंतर आउटपुट जोड्या डीडीआयओ आउटपुटला दिले जातात, जे क्रमशः वाढत्या आणि घसरणाऱ्या कडांवर एक-बिट सिग्नल तयार करतात.

डीडीआयओचे वर्णन खालील वेरिलॉग कोडसह केले जाऊ शकते:

module ddio(
	input wire d0,
	input wire d1,
	input wire clk,
	output wire out
	);

reg r_d0;
reg r_d1;
always @(posedge clk)
begin
	r_d0 <= d0;
	r_d1 <= d1;
end
assign out = clk ? r_d0 : r_d1;
endmodule

पण बहुधा ते तसे काम करणार नाही. DDIO आउटपुट घटक प्रत्यक्षात सक्षम करण्यासाठी तुम्हाला Alter चे मेगाफंक्शन ALTDIO_OUT वापरावे लागेल. माझा प्रकल्प ALTDIO_OUT लायब्ररी घटक वापरतो.

हे सर्व थोडे अवघड वाटू शकते, परंतु ते कार्य करते.

Verilog HDL मध्ये लिहिलेला सर्व सोर्स कोड तुम्ही पाहू शकता येथे github वर.

FPGA साठी संकलित फर्मवेअर Mars Rover2rpi बोर्डवर स्थापित केलेल्या EPCS चिपमध्ये फ्लॅश केले जाते. अशा प्रकारे, जेव्हा FPGA बोर्डवर पॉवर लागू केला जातो, FPGA फ्लॅश मेमरीमधून आरंभ केला जाईल आणि सुरू होईल.

आता आपल्याला रास्पबेरीच्या कॉन्फिगरेशनबद्दल थोडेसे बोलण्याची आवश्यकता आहे.

मी Raspberry PI OS (32 bit) वर डेबियन बस्टरवर आधारित प्रयोग करत आहे, आवृत्ती:ऑगस्ट 2020,
प्रकाशन तारीख: 2020-08-20, कर्नल आवृत्ती: 5.4.

आपल्याला दोन गोष्टी करण्याची आवश्यकता आहे:

  • config.txt फाइल संपादित करा;
  • दोन मॉनिटर्ससह कार्य करण्यासाठी X सर्व्हर कॉन्फिगरेशन तयार करा.

/boot/config.txt फाइल संपादित करताना तुम्हाला आवश्यक आहे:

  1. i2c, i2s, spi चा वापर अक्षम करा;
  2. आच्छादन वापरून DPI मोड सक्षम करा dtoverlay=dpi24;
  3. डीपीआय वर व्हिडिओ मोड 1280×720 60Hz, 24 बिट्स प्रति पिक्सेल कॉन्फिगर करा;
  4. फ्रेमबफर 2 ची आवश्यक संख्या निर्दिष्ट करा (max_framebuffers=2, तरच दुसरे उपकरण /dev/fb1 दिसेल)

config.txt फाइलचा संपूर्ण मजकूर असा दिसतो.

# For more options and information see
# http://rpf.io/configtxt
# Some settings may impact device functionality. See link above for details

# uncomment if you get no picture on HDMI for a default "safe" mode
#hdmi_safe=1

# uncomment this if your display has a black border of unused pixels visible
# and your display can output without overscan
disable_overscan=1

# uncomment the following to adjust overscan. Use positive numbers if console
# goes off screen, and negative if there is too much border
#overscan_left=16
#overscan_right=16
#overscan_top=16
#overscan_bottom=16

# uncomment to force a console size. By default it will be display's size minus
# overscan.
#framebuffer_width=1280
#framebuffer_height=720

# uncomment if hdmi display is not detected and composite is being output
hdmi_force_hotplug=1

# uncomment to force a specific HDMI mode (this will force VGA)
#hdmi_group=1
#hdmi_mode=1

# uncomment to force a HDMI mode rather than DVI. This can make audio work in
# DMT (computer monitor) modes
#hdmi_drive=2

# uncomment to increase signal to HDMI, if you have interference, blanking, or
# no display
#config_hdmi_boost=4

# uncomment for composite PAL
#sdtv_mode=2

#uncomment to overclock the arm. 700 MHz is the default.
#arm_freq=800

# Uncomment some or all of these to enable the optional hardware interfaces
#dtparam=i2c_arm=on
#dtparam=i2s=on
#dtparam=spi=on

dtparam=i2c_arm=off
dtparam=spi=off
dtparam=i2s=off

dtoverlay=dpi24
overscan_left=0
overscan_right=0
overscan_top=0
overscan_bottom=0
framebuffer_width=1280
framebuffer_height=720
display_default_lcd=0
enable_dpi_lcd=1
dpi_group=2
dpi_mode=87
#dpi_group=1
#dpi_mode=4
dpi_output_format=0x6f027
dpi_timings=1280 1 110 40 220 720 1 5 5 20 0 0 0 60 0 74000000 3

# Uncomment this to enable infrared communication.
#dtoverlay=gpio-ir,gpio_pin=17
#dtoverlay=gpio-ir-tx,gpio_pin=18

# Additional overlays and parameters are documented /boot/overlays/README

# Enable audio (loads snd_bcm2835)
dtparam=audio=on

[pi4]
# Enable DRM VC4 V3D driver on top of the dispmanx display stack
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

[all]
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

यानंतर, तुम्हाला दोन फ्रेमबफर /dev/fb0 आणि /dev/fb1 वर दोन मॉनिटर्स वापरण्यासाठी X सर्व्हरसाठी कॉन्फिगरेशन फाइल तयार करावी लागेल:

माझी कॉन्फिगरेशन फाइल /usr/share/x11/xorg.conf.d/60-dualscreen.conf अशी आहे

Section "Device"
        Identifier      "LCD"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb0"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Device"
        Identifier      "HDMI"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb1"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Monitor"
        Identifier      "LCD-monitor"
        Option          "Primary" "true"
EndSection

Section "Monitor"
        Identifier      "HDMI-monitor"
        Option          "RightOf" "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen0"
        Device          "LCD"
        Monitor         "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen1"
        Device          "HDMI" 
	Monitor         "HDMI-monitor"
EndSection

Section "ServerLayout"
        Identifier      "default"
        Option          "Xinerama" "on"
        Option          "Clone" "off"
        Screen 0        "screen0"
        Screen 1        "screen1" RightOf "screen0"
EndSection

ठीक आहे, जर ते आधीपासून स्थापित केलेले नसेल, तर तुम्हाला Xinerama स्थापित करणे आवश्यक आहे. नंतर वरील डेमो व्हिडिओमध्ये दर्शविल्याप्रमाणे, डेस्कटॉप स्पेस पूर्णपणे दोन मॉनिटर्समध्ये वाढविली जाईल.

बहुधा एवढेच. आता, रास्पबेरी Pi3 मालक दोन मॉनिटर्स वापरण्यास सक्षम असतील.

मार्स रोव्हर2आरपीआय बोर्डचे वर्णन आणि सर्किट आकृती आढळू शकते इकडे पहा.

स्त्रोत: www.habr.com