рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рд╣рд╛ рддреБрдордЪрд╛ рдЫрдВрдж рдЕрд╕рд▓реНрдпрд╛рд╕ рдбреЗрдЯрд╛рд╢реАрдЯ рдХрд╕реЗ рдЖрдгрд┐ рдХрд╛ рд╡рд╛рдЪрд╛рдпрдЪреЗ

рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рд╣рд╛ рддреБрдордЪрд╛ рдЫрдВрдж рдЕрд╕рд▓реНрдпрд╛рд╕ рдбреЗрдЯрд╛рд╢реАрдЯ рдХрд╕реЗ рдЖрдгрд┐ рдХрд╛ рд╡рд╛рдЪрд╛рдпрдЪреЗ

рдЕрд▓рд┐рдХрдбрдЪреНрдпрд╛ рд╡рд░реНрд╖рд╛рдВрдд рдорд╛рдпрдХреНрд░реЛрдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ рд╣рд╛ рдПрдХ рдлреЕрд╢рдиреЗрдмрд▓ рдЫрдВрдж рдЖрд╣реЗ рдЬреЛ рдЬрд╛рджреВрдИ Arduino рдореБрд│реЗ рдЖрд╣реЗ. рдкрд░рдВрддреБ рдпреЗрдереЗ рд╕рдорд╕реНрдпрд╛ рдЖрд╣реЗ: рдкреБрд░реЗрд╢рд╛ рд╕реНрд╡рд╛рд░рд╕реНрдпрд╛рдиреЗ, рддреБрдореНрд╣реА DigitalWrite() рддреНрд╡рд░реАрдд рд╡рд╛рдврд╡реВ рд╢рдХрддрд╛, рдкрд░рдВрддреБ рдкреБрдвреЗ рдХрд╛рдп рдХрд░рд╛рд╡реЗ рд╣реЗ рдкреВрд░реНрдгрдкрдгреЗ рд╕реНрдкрд╖реНрдЯ рдирд╛рд╣реА. Arduino рдбреЗрд╡реНрд╣рд▓рдкрд░реНрд╕рдиреА рддреНрдпрд╛рдВрдЪреНрдпрд╛ рдЗрдХреЛрд╕рд┐рд╕реНрдЯрдордордзреАрд▓ рдкреНрд░рд╡реЗрд╢рд╛рдЪрд╛ рдЕрдбрдерд│рд╛ рдХрдореА рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рдЦреВрдк рдкреНрд░рдпрддреНрди рдХреЗрд▓реЗ рдЖрд╣реЗрдд, рдкрд░рдВрддреБ рддреНрдпрд╛рдЪреНрдпрд╛ рдмрд╛рд╣реЗрд░ рдЕрдЬреВрдирд╣реА рдХрдареЛрд░ рдкрд░рд┐рдХреНрд░рдорд╛рдВрдЪреЗ рдЧрдбрдж рдЬрдВрдЧрд▓ рдЖрд╣реЗ рдЬреЗ рд╣реМрд╢реАрдВрд╕рд╛рдареА рдкреНрд░рд╡реЗрд╢ рдХрд░реВ рд╢рдХрдд рдирд╛рд╣реА.

рдЙрджрд╛рд╣рд░рдгрд╛рд░реНрде, рдбреЗрдЯрд╛рд╢реАрдЯ. рдЕрд╕реЗ рджрд┐рд╕рддреЗ рдХреА рддреНрдпрд╛рдВрдЪреНрдпрд╛рдХрдбреЗ рд╕рд░реНрд╡рдХрд╛рд╣реА рдЖрд╣реЗ, рддреЗ рдШреНрдпрд╛ рдЖрдгрд┐ рд╡рд╛рдкрд░рд╛. рдкрд░рдВрддреБ рддреНрдпрд╛рдВрдЪреЗ рд▓реЗрдЦрдХ рд╕реНрдкрд╖реНрдЯрдкрдгреЗ рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рд▓реЛрдХрдкреНрд░рд┐рдп рдХрд░рдгреНрдпрд╛рдЪреЗ рдХрд╛рд░реНрдп рд╕реНрд╡рдд: рд▓рд╛ рд╕реЗрдЯ рдХрд░рдд рдирд╛рд╣реАрдд; рдХрдзреА рдХрдзреА рдЕрд╕реЗ рджрд┐рд╕рддреЗрддреЗ рд╢рдХреНрдп рддрд┐рддрдХреНрдпрд╛ рдЕрд╕реБрд░рдХреНрд╖рд┐рддрд╛рдВрдирд╛ рдЧреЛрдВрдзрд│рд╛рдд рдЯрд╛рдХрдгреНрдпрд╛рд╕рд╛рдареА рд╕рд╛рдзреНрдпрд╛ рдЧреЛрд╖реНрдЯреАрдВрдЪреЗ рд╡рд░реНрдгрди рдХрд░рддрд╛рдирд╛ рдЬрд╛рдгреАрд╡рдкреВрд░реНрд╡рдХ рдЕрдирд╛рдХрд▓рдиреАрдп рд╕рдВрдЬреНрдЮрд╛ рдЖрдгрд┐ рд╕рдВрдХреНрд╖реЗрдкрд╛рдВрдЪрд╛ рджреБрд░реБрдкрдпреЛрдЧ рдХрд░рддрд╛рдд. рдкрд░рдВрддреБ рд╕рд░реНрд╡ рдХрд╛рд╣реА рдЗрддрдХреЗ рд╡рд╛рдИрдЯ рдирд╛рд╣реА; рдЗрдЪреНрдЫрд┐рдд рдЕрд╕рд▓реНрдпрд╛рд╕, рдХрд╛рд╕реНрдХреЗрдЯ рдЙрдШрдбрддреЗ.

рдпрд╛ рд▓реЗрдЦрд╛рдд рдореА рдЫрдВрдж рд╣реЗрддреВрдиреЗ рдбреЗрдЯрд╛рд╢реАрдЯрд╢реА рд╕рдВрд╡рд╛рдж рд╕рд╛рдзрдгрд╛рд▒реНрдпрд╛ рдорд╛рдирд╡рд┐рдХреА рддрдЬреНрдЮрд╛рдЪрд╛ рдЕрдиреБрднрд╡ рд╕рд╛рдорд╛рдпрд┐рдХ рдХрд░реЗрди. рд╣рд╛ рдордЬрдХреВрд░ рдЕрд░реНрдбрд┐рдиреЛ рдкрдБрдЯрдордзреВрди рд╡рд╛рдврд▓реЗрд▓реНрдпрд╛ рд╣реМрд╢реАрдВрд╕рд╛рдареА рдЖрд╣реЗ; рд╣реЗ рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░рдЪреНрдпрд╛ рдСрдкрд░реЗрд╢рдирдЪреНрдпрд╛ рддрддреНрддреНрд╡рд╛рдВрдЪреА рдХрд╛рд╣реА рд╕рдордЬ рдЧреГрд╣реАрдд рдзрд░рддреЗ.

рдореА рдкрд╛рд░рдВрдкрд╛рд░рд┐рдХ рд╕рд╣ рдкреНрд░рд╛рд░рдВрдн рдХрд░реВ

Arduino рд╡рд░ LED рдЪрдордХрдд рдЖрд╣реЗ

рдЖрдгрд┐ рд▓рдЧреЗрдЪ рдХреЛрдб:

void setup() {
DDRB |= (1<<5);
}

void loop() {
PINB = (1<<5);
for (volatile uint32_t k=0; k<100000; k++);
}

"рд╣реЗ рдХрд╛рдп рдЖрд╣реЗ? - рдПрдХ рдЕрддреНрдпрд╛рдзреБрдирд┐рдХ рд╡рд╛рдЪрдХ рд╡рд┐рдЪрд╛рд░реЗрд▓. тАУ рддреБрдореНрд╣реА PINB рдЗрдирдкреБрдЯ рд░рдЬрд┐рд╕реНрдЯрд░рд╡рд░ рдХрд╛рд╣реАрддрд░реА рдХрд╛ рд▓рд┐рд╣рд┐рдд рдЖрд╣рд╛рдд? рд╣реЗ рдлрдХреНрдд рд╡рд╛рдЪрдгреНрдпрд╛рд╕рд╛рдареА рдЖрд╣реЗ!тАЭ рдЦрд░рдВрдЪ, Arduino рджрд╕реНрддрдРрд╡рдЬреАрдХрд░рдг, рдЗрдВрдЯрд░рдиреЗрдЯрд╡рд░реАрд▓ рдмрд╣реБрддреЗрдХ рд╢реИрдХреНрд╖рдгрд┐рдХ рд▓реЗрдЦрд╛рдВрдкреНрд░рдорд╛рдгреЗ, рд╣реЗ рдиреЛрдВрджрд╡рд╣реА рдХреЗрд╡рд│ рд╡рд╛рдЪрдиреАрдп рдЖрд╣реЗ. рдореА рддреЗ рдкреБрдиреНрд╣рд╛ рд╡рд╛рдЪреЗрдкрд░реНрдпрдВрдд рдореА рд╕реНрд╡рддрдГ рдЕрд╕реЗрдЪ рд╡рд┐рдЪрд╛рд░ рдХреЗрд▓рд╛ рдорд╛рд╣рд┐рддреА рдкрддреНрд░рдХ Atmega328p рд╡рд░, рд╣рд╛ рд▓реЗрдЦ рддрдпрд╛рд░ рдХрд░рдд рдЖрд╣реЗ. рдЖрдгрд┐ рддрд┐рдереЗ:

рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рд╣рд╛ рддреБрдордЪрд╛ рдЫрдВрдж рдЕрд╕рд▓реНрдпрд╛рд╕ рдбреЗрдЯрд╛рд╢реАрдЯ рдХрд╕реЗ рдЖрдгрд┐ рдХрд╛ рд╡рд╛рдЪрд╛рдпрдЪреЗ

рд╣реА рдПрдХ рддреБрд▓рдиреЗрдиреЗ рдирд╡реАрди рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рдЖрд╣реЗ, рддреА Atmega8 рд╡рд░ рдирд╡реНрд╣рддреА, рдкреНрд░рддреНрдпреЗрдХрд╛рд▓рд╛ рддреНрдпрд╛рдмрджреНрджрд▓ рдорд╛рд╣рд┐рддреА рдирд╛рд╣реА рдХрд┐рдВрд╡рд╛ рдорд╛рдЧрд╛рд╕ рдЕрдиреБрдХреВрд▓рддреЗрдЪреНрдпрд╛ рдХрд╛рд░рдгрд╛рд╕реНрддрд╡ рдЙрд▓реНрд▓реЗрдЦ рдХреЗрд▓реЗрд▓рд╛ рдирд╛рд╣реА. рдкрд░рдВрддреБ рдЕрд▓реНрдк-рдЬреНрдЮрд╛рддрд╛рдВрд╕рд╣ рдЪрд┐рдкрдЪреНрдпрд╛ рд╕рд░реНрд╡ рдХреНрд╖рдорддрд╛рдВрдЪрд╛ рд╡рд╛рдкрд░ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рдбреЗрдЯрд╛рд╢реАрдЯ рд╡рд╛рдЪрдгреНрдпрд╛рд╕рд╛рд░рдЦреЗ рдЖрд╣реЗрдд рд╣реА рдХрд▓реНрдкрдирд╛ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рд╣реЗ рдЕрдЧрджреА рдпреЛрдЧреНрдп рдЖрд╣реЗ. рдЖрдгрд┐ рд╣реЗ рдПрдХрдореЗрд╡ рдХрд╛рд░рдг рдирд╛рд╣реА.

рдЗрддрд░ рдбреЗрдЯрд╛рд╢реАрдЯ рдХрд╛ рд╡рд╛рдЪрд╛рдпрдЪреЗ?

рд╕рд╣рд╕рд╛, Arduino рдЕрднрд┐рдпрдВрддреЗ, LEDs рдЖрдгрд┐ AnalogWrites рд╕рд╣ рдкреБрд░реЗрд╢реА рдЦреЗрд│реВрди, рд╕рд░реНрд╡ рдкреНрд░рдХрд╛рд░рдЪреЗ рдореЙрдбреНрдпреВрд▓реНрд╕ рдЖрдгрд┐ рдЪрд┐рдкреНрд╕ рдмреЛрд░реНрдбрд╢реА рдЬреЛрдбрдгреНрдпрд╛рд╕ рд╕реБрд░рд╡рд╛рдд рдХрд░рддрд╛рдд, рдЬреНрдпрд╛рд╕рд╛рдареА рдЖрдзреАрдЪ рд▓рд┐рд╣рд┐рд▓реЗрд▓реА рд▓рд╛рдпрдмреНрд░рд░реА рдЖрд╣реЗрдд. рд▓рд╡рдХрд░рдЪ рдХрд┐рдВрд╡рд╛ рдирдВрддрд░, рдПрдХ рд▓рд╛рдпрдмреНрд░рд░реА рджрд┐рд╕рддреЗ рдЬреА рдкрд╛рд╣рд┐рдЬреЗ рддрд╕реЗ рдХрд╛рд░реНрдп рдХрд░рдд рдирд╛рд╣реА. рдордЧ рд╣реМрд╢реА рддреЗ рджреБрд░реБрд╕реНрдд рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рддреЗ рдирд┐рд╡рдбреВ рд▓рд╛рдЧрддреЗ рдЖрдгрд┐ рдордЧ...

рдЖрдгрд┐ рддрд┐рдереЗ рдХрд╛рд╣реАрддрд░реА рдкреВрд░реНрдгрдкрдгреЗ рди рд╕рдордЬрдгреНрдпрд╛рд╕рд╛рд░рдЦреЗ рдШрдбрддреЗ, рдореНрд╣рдгреВрди рддреБрдореНрд╣рд╛рд▓рд╛ Google рд╡рд░ рдЬрд╛рд╡реЗ рд▓рд╛рдЧреЗрд▓, рдЕрд╕рдВрдЦреНрдп рдЯреНрдпреВрдЯреЛрд░рд┐рдпрд▓ рд╡рд╛рдЪрд╛рд╡реЗ рд▓рд╛рдЧрддреАрд▓, рдПрдЦрд╛рджреНрдпрд╛рдЪреНрдпрд╛ рдпреЛрдЧреНрдп рдХреЛрдбрдЪреЗ рдХрд╛рд╣реА рднрд╛рдЧ рдХрд╛рдврд╛рд╡реЗ рд▓рд╛рдЧрддреАрд▓ рдЖрдгрд┐ рд╢реЗрд╡рдЯреА рдЖрдкрд▓реЗ рдзреНрдпреЗрдп рд╕рд╛рдзреНрдп рдХрд░рд╛рд╡реЗ рд▓рд╛рдЧреЗрд▓. рд╣реЗ рд╕рд┐рджреНрдзреАрдЪреА рдПрдХ рд╢рдХреНрддрд┐рд╢рд╛рд▓реА рднрд╛рд╡рдирд╛ рджреЗрддреЗ, рдкрд░рдВрддреБ рдкреНрд░рддреНрдпрдХреНрд╖рд╛рдд рд╣реА рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдореЛрдЯрд░рд╕рд╛рдпрдХрд▓рдЪреЗ рд░рд┐рд╡реНрд╣рд░реНрд╕ рдЗрдВрдЬрд┐рдирд┐рдпрд░рд┐рдВрдЧ рдХрд░реВрди рдЪрд╛рдХ рдкреБрдиреНрд╣рд╛ рд╢реЛрдзрдгреНрдпрд╛рд╕рд╛рд░рдЦреА рдЖрд╣реЗ. рд╢рд┐рд╡рд╛рдп рд╣реА рдмрд╛рдИрдХ рдХрд╢реА рдЪрд╛рд▓рддреЗ рдпрд╛рдЪреА рд╕рдордЬ рд╡рд╛рдврдд рдирд╛рд╣реА. рдорд▓рд╛ рдорд╛рд╣рд┐рдд рдЖрд╣реЗ, рдХрд╛рд░рдг рдореА рд╣реЗ рд╕реНрд╡рддрдГ рдмрд░реЗрдЪ рджрд┐рд╡рд╕ рдХреЗрд▓реЗ рдЖрд╣реЗ.

рдпрд╛ рд░реЛрдорд╛рдВрдЪрдХ рдХреНрд░рд┐рдпрд╛рдХрд▓рд╛рдкрд╛рдРрд╡рдЬреА рдореА Atmega328 рджрд╕реНрддрдРрд╡рдЬреАрдХрд░рдгрд╛рдЪрд╛ рдЕрднреНрдпрд╛рд╕ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рдХрд╛рд╣реА рджрд┐рд╡рд╕ рдШрд╛рд▓рд╡рд▓реЗ рдЕрд╕рддреЗ рддрд░ рдорд╛рдЭрд╛ рдмрд░рд╛рдЪ рд╡реЗрд│ рд╡рд╛рдЪрд▓рд╛ рдЕрд╕рддрд╛. рд╢реЗрд╡рдЯреА, рд╣рд╛ рдПрдХ рдЕрдЧрджреА рд╕реЛрдкрд╛ рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдЖрд╣реЗ.

рдЕрд╢рд╛ рдкреНрд░рдХрд╛рд░реЗ, рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рд╕рд╛рдорд╛рдиреНрдпрддрдГ рдХрд╕реЗ рдХрд╛рд░реНрдп рдХрд░рддреЗ рдЖрдгрд┐ рддреЗ рдХрд╛рдп рдХрд░реВ рд╢рдХрддреЗ рдпрд╛рдЪреА рдХрд▓реНрдкрдирд╛ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рддреБрдореНрд╣рд╛рд▓рд╛ рдХрд┐рдорд╛рди рдбреЗрдЯрд╛рд╢реАрдЯ рд╡рд╛рдЪрдгреНрдпрд╛рдЪреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдЖрд╣реЗ. рдЖрдгрд┐ рдкреБрдвреЗ:

  • рдЗрддрд░ рд▓реЛрдХрд╛рдВрдЪреНрдпрд╛ рд▓рд╛рдпрдмреНрд░рд░реА рддрдкрд╛рд╕рдгреНрдпрд╛рд╕рд╛рдареА рдЖрдгрд┐ рдСрдкреНрдЯрд┐рдорд╛рдЗрдЭ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА. рддреЗ рдмрд╣реБрддреЗрдХ рд╡реЗрд│рд╛ рддреНрдпрд╛рдЪ рд╣реМрд╢реАрдВрдиреА рд▓рд┐рд╣рд┐рд▓реЗрд▓реЗ рдЕрд╕рддрд╛рдд рдЬреЗ рдЪрд╛рдХ рдкреБрдиреНрд╣рд╛ рд╢реЛрдзрддрд╛рдд; рдХрд┐рдВрд╡рд╛, рддреНрдпрд╛рдЙрд▓рдЯ, рд▓реЗрдЦрдХ рдореБрджреНрджрд╛рдорд╣реВрди рддреНрдпрд╛рдВрдирд╛ рдЕрддреА рдореВрд░реНрдЦ рдмрдирд╡рддрд╛рдд. рддреЗ рддреАрдирдкрдЯ рдореЛрдареЗ рдЖрдгрд┐ рд╣рд│реВ рд╣реЛрдК рджреНрдпрд╛, рдкрд░рдВрддреБ рддреЗ рдирд┐рд╢реНрдЪрд┐рддрдкрдгреЗ рдХрд╛рд░реНрдп рдХрд░реЗрд▓;

  • рдЬреНрдпрд╛ рдкреНрд░рдХрд▓реНрдкрд╛рд╕рд╛рдареА рдХреЛрдгреАрд╣реА рд▓рд╛рдпрдмреНрд░рд░реА рд▓рд┐рд╣рд┐рд▓реЗрд▓реА рдирд╛рд╣реА рдЕрд╢рд╛ рдкреНрд░рдХрд▓реНрдкрд╛рдордзреНрдпреЗ рдЪрд┐рдкреНрд╕ рд╡рд╛рдкрд░рдгреНрдпрд╛рд╕ рд╕рдХреНрд╖рдо рд╣реЛрдгреНрдпрд╛рд╕рд╛рдареА;

  • рдПрдХрд╛ рдПрдордХреЗ рдУрд│реАрддреВрди рджреБрд╕рд▒реНрдпрд╛ рдУрд│реАрдд рд╕реНрдерд▓рд╛рдВрддрд░рд┐рдд рдХрд░рдгреЗ рд╕реНрд╡рдд:рд╕рд╛рдареА рд╕реЛрдкреЗ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА;

  • рд╢реЗрд╡рдЯреА рддреБрдордЪрд╛ рдЬреБрдирд╛ рдХреЛрдб рдСрдкреНрдЯрд┐рдорд╛рдЗрдЭ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА, рдЬреЛ Arduino рдордзреНрдпреЗ рдмрд╕рдд рдирд╛рд╣реА;

  • рдХреЛрдгрддреАрд╣реА рдЪрд┐рдк рддреНрдпрд╛рдЪреНрдпрд╛ рд▓рд╛рдпрдмреНрд░рд░реАрдЪреНрдпрд╛ рд╕рдВрд░рдЪрдиреЗрдЪрд╛ рдЕрднреНрдпрд╛рд╕ рди рдХрд░рддрд╛, рдЬрд░ рдЕрд╕реЗрд▓ рддрд░ рддреНрдпрд╛рдЪреНрдпрд╛ рд░рдЬрд┐рд╕реНрдЯрд░реНрд╕рджреНрд╡рд╛рд░реЗ рдереЗрдЯ рдХрд╕реЗ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рд╛рд╡реЗ рд╣реЗ рд╢рд┐рдХрдгреНрдпрд╛рд╕рд╛рдареА.

рдПрдЪрдПрдПрд▓ рдЖрдгрд┐ рдПрд▓рдПрд▓ рдЕрд╕рддрд╛рдирд╛ рдереЗрдЯ рд░рдЬрд┐рд╕реНрдЯрд░рд▓рд╛ рдХрд╛ рд▓рд┐рд╣рд╛рдпрдЪреЗ?

рд╢рдмреНрджрдХреЛрд╢
рдПрдЪрдПрдПрд▓, рдЙрдЪреНрдЪ рдЕреЕрдмреНрд╕реНрдЯреНрд░реЕрдХреНрд╢рди рд▓реЗрдпрд░ - рдЙрдЪреНрдЪ рдкрд╛рддрд│реАрдЪреНрдпрд╛ рдЕреЕрдмреНрд╕реНрдЯреНрд░реЕрдХреНрд╢рдирд╕рд╣ рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рд▓рд╛рдпрдмреНрд░рд░реА. рдЬрд░ рддреБрдореНрд╣рд╛рд▓рд╛ SPI1 рдЗрдВрдЯрд░рдлреЗрд╕ рд╡рд╛рдкрд░рд╛рдпрдЪрд╛ рдЕрд╕реЗрд▓, рддрд░ рддреБрдореНрд╣реА рдлрдХреНрдд SPI1 рдХреЙрдиреНрдлрд┐рдЧрд░ рдХрд░рд╛ рдЖрдгрд┐ рд╕рдХреНрд╖рдо рдХрд░рд╛, рдХреЛрдгрддреЗ рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╢рд╛рд╕рд╛рдареА рдЬрдмрд╛рдмрджрд╛рд░ рдЖрд╣реЗрдд рдпрд╛рдЪрд╛ рд╡рд┐рдЪрд╛рд░ рди рдХрд░рддрд╛.
LL, рдирд┐рдореНрди рд╕реНрддрд░ API - рдиреЛрдВрджрдгреА рдкрддреНрддреНрдпрд╛рдВрд╕рд╣ рдореЕрдХреНрд░реЛ рдХрд┐рдВрд╡рд╛ рд╕реНрдЯреНрд░рдХреНрдЪрд░реНрд╕ рдЕрд╕рд▓реЗрд▓реА рд▓рд╛рдпрдмреНрд░рд░реА, рддреБрдореНрд╣рд╛рд▓рд╛ рдирд╛рд╡рд╛рдиреЗ рддреНрдпрд╛рдд рдкреНрд░рд╡реЗрд╢ рдХрд░рдгреНрдпрд╛рдЪреА рдкрд░рд╡рд╛рдирдЧреА рджреЗрддреЗ. Atmega рд╡рд░ DDRx, PORTx, PINx LL рдЖрд╣реЗрдд.

Habr├й рд╡рд░реАрд▓ рдЯрд┐рдкреНрдкрдгреНрдпрд╛рдВрдордзреНрдпреЗ тАЬHAL, LL рдХрд┐рдВрд╡рд╛ рд░рдЬрд┐рд╕реНрдЯрд░реНрд╕тАЭ рдпрд╛ рд╡рд┐рд╖рдпрд╛рд╡рд░реАрд▓ рд╡рд┐рд╡рд╛рдж рдирд┐рдпрдорд┐рддрдкрдгреЗ рд╣реЛрддрд╛рдд. рд╕реВрдХреНрд╖реНрдо рдЬреНрдЮрд╛рдирд╛рдЪреНрдпрд╛ рдкреНрд░рд╡реЗрд╢рд╛рдЪрд╛ рджрд╛рд╡рд╛ рди рдХрд░рддрд╛, рдореА рдлрдХреНрдд рдорд╛рдЭреЗ рд╣реМрд╢реА рдЕрдиреБрднрд╡ рдЖрдгрд┐ рд╡рд┐рдЪрд╛рд░ рд╕рд╛рдорд╛рдпрд┐рдХ рдХрд░реЗрди.

Atmega рд╢реЛрдзреВрди рдХрд╛рдврд▓реНрдпрд╛рдирдВрддрд░ рдЖрдгрд┐ STM32 рдЪреНрдпрд╛ рдЕрджреНрднреБрддрддреЗрдмрджреНрджрд▓рдЪреЗ рд▓реЗрдЦ рд╡рд╛рдЪреВрди, рдореА рдЕрд░реНрдзрд╛ рдбрдЭрди рд╡реЗрдЧрд╡реЗрдЧрд│реЗ рдмреЛрд░реНрдб - рдбрд┐рд╕реНрдХрд╡реНрд╣рд░реА рдЖрдгрд┐ рдмреНрд▓реВ рдкрд┐рд▓реНрд╕ рдЖрдгрд┐ рдорд╛рдЭреНрдпрд╛ рдШрд░рдЧреБрддреА рдЙрддреНрдкрд╛рджрдирд╛рдВрд╕рд╛рдареА рдлрдХреНрдд рдЪрд┐рдкреНрд╕ рд╡рд┐рдХрдд рдШреЗрддрд▓реНрдпрд╛. рдпрд╛ рд╕рд░реНрд╡рд╛рдВрдиреА рджреЛрди рд╡рд░реНрд╖реЗ рдПрдХрд╛ рдкреЗрдЯреАрдд рдзреВрд│ рдЧреЛрд│рд╛ рдХреЗрд▓реА. рдХрд╛рд╣реАрд╡реЗрд│рд╛ рдореА рд╕реНрд╡рддрдГрд▓рд╛ рдореНрд╣рдгрд╛рд▓реЛ: тАЬрддреЗрдЪ рдЖрд╣реЗ, рдореА рдпрд╛ рд╢рдирд┐рд╡рд╛рд░ рд╡ рд░рд╡рд┐рд╡рд╛рд░ STM рдордзреНрдпреЗ рдкреНрд░рднреБрддреНрд╡ рдорд┐рд│рд╡рдд рдЖрд╣реЗ,тАЭ CubeMX рд▓рд╛рдБрдЪ рдХреЗрд▓реЗ, SPI рд╕рд╛рдареА рд╕реЗрдЯрдЕрдк рддрдпрд╛рд░ рдХреЗрд▓рд╛, рдкрд░рд┐рдгрд╛рдореА рдордЬрдХреВрд░рд╛рдЪреА рднрд┐рдВрдд рдкрд╛рд╣рд┐рд▓реА, STM рдХреЙрдкреАрд░рд╛рдЗрдЯреНрд╕рд╕рд╣ рдЙрджрд╛рд░рддреЗрдиреЗ рдлреНрд▓реЗрд╡рд░ рдХреЗрд▓реЗрд▓реЗ, рдЖрдгрд┐ рдард░рд╡рд▓реЗ рдХреА рд╣реЗ рдХрд┐рддреАрддрд░реА рдЬрд╛рд╕реНрдд рдЖрд╣реЗ. .

рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рд╣рд╛ рддреБрдордЪрд╛ рдЫрдВрдж рдЕрд╕рд▓реНрдпрд╛рд╕ рдбреЗрдЯрд╛рд╢реАрдЯ рдХрд╕реЗ рдЖрдгрд┐ рдХрд╛ рд╡рд╛рдЪрд╛рдпрдЪреЗ

рдЕрд░реНрдерд╛рдд, рдЖрдкрдг рдХреНрдпреВрдмрдПрдордПрдХреНрд╕ рдпреЗрдереЗ рдХрд╛рдп рд▓рд┐рд╣рд┐рд▓реЗ рдЖрд╣реЗ рддреЗ рд╢реЛрдзреВ рд╢рдХрддрд╛. рдкрд░рдВрддреБ рддреНрдпрд╛рдЪ рд╡реЗрд│реА рд╣реЗ рд╕реНрдкрд╖реНрдЯ рдЖрд╣реЗ рдХреА рд╕рд░реНрд╡ рд╢рдмреНрджрд░рдЪрдирд╛ рд▓рдХреНрд╖рд╛рдд рдареЗрд╡рдгреЗ рдЖрдгрд┐ рдирдВрддрд░ рддреЗ рд╣рд╛рддрд╛рдиреЗ рд▓рд┐рд╣рд┐рдгреЗ рдЕрд╡рд╛рд╕реНрддрд╡ рдЖрд╣реЗ. рдЖрдгрд┐ рд╣реЗ рдбреАрдмрдЧ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА, рдЬрд░ рдореА рдЪреБрдХреВрди рдХреНрдпреВрдмрдордзреАрд▓ рдмреЙрдХреНрд╕ рдЪреЗрдХ рдХрд░рд╛рдпрд▓рд╛ рд╡рд┐рд╕рд░рд▓реЛ, рддрд░ рддреЗ рдкреВрд░реНрдгрдкрдгреЗ рдареАрдХ рдЖрд╣реЗ.

рджреЛрди рд╡рд░реНрд╖реЗ рдЭрд╛рд▓реА, рдореА рдЕрдЬреВрдирд╣реА рдорд╛рдЭреЗ рдУрда рдЪрд╛рдЯрдд рдЖрд╣реЗ рдПрд╕рдЯреА MCU рд╢реЛрдзрдХ рд╕рд░реНрд╡ рдкреНрд░рдХрд╛рд░рдЪреНрдпрд╛ рдЪрд╡рджрд╛рд░рд╛рдВрд╕рд╛рдареА, рдкрд░рдВрддреБ рдорд╛рдЭреНрдпрд╛ рд╕рдордЬрдгреНрдпрд╛рдЪреНрдпрд╛ рдкрд▓реАрдХрдбреЗ, рдЪрд┐рдкреНрд╕ рдЖрдгрд┐ рдЪреБрдХреВрди рд╕рдореЛрд░ рдЖрд▓реЗ рдЕрдкреНрд░рддрд┐рдо рд▓реЗрдЦ, STM8 рдмрджреНрджрд▓ рдЬрд░реА. рдЖрдгрд┐ рдЕрдЪрд╛рдирдХ рдорд▓рд╛ рд╕рдордЬрд▓реЗ рдХреА рдпрд╛ рд╕рд░реНрд╡ рд╡реЗрд│реЗрд╕ рдореА рдЙрдШрдбреНрдпрд╛ рджрд╛рд░рд╛рд╡рд░ рдареЛрдард╛рд╡рдд рд╣реЛрддреЛ: STM рдЪреЗ рд░рдЬрд┐рд╕реНрдЯрд░реНрд╕ рдЗрддрд░ рдХреЛрдгрддреНрдпрд╛рд╣реА MK рдкреНрд░рдорд╛рдгреЗрдЪ рд╡реНрдпрд╡рд╕реНрдерд┐рдд рдХреЗрд▓реЗ рдЬрд╛рддрд╛рдд рдЖрдгрд┐ рдХреНрдпреВрдм рддреНрдпрд╛рдВрдЪреНрдпрд╛рдмрд░реЛрдмрд░ рдХрд╛рдо рдХрд░рдгреЗ рдЖрд╡рд╢реНрдпрдХ рдирд╛рд╣реА. рдЕрдЧрджреА рд╢рдХреНрдп рд╣реЛрддрдВ рдХрд╛?..

HAL рдЖрдгрд┐ рд╡рд┐рд╢реЗрд╖рддрдГ STM32CubeMX рд╣реЗ рд╡реНрдпрд╛рд╡рд╕рд╛рдпрд┐рдХ рдЕрднрд┐рдпрдВрддреНрдпрд╛рдВрд╕рд╛рдареА рдПрдХ рд╕рд╛рдзрди рдЖрд╣реЗ рдЬреЗ STM32 рдЪрд┐рдкреНрд╕рд╕рд╣ рдЬрд╡рд│реВрди рдХрд╛рдо рдХрд░рддрд╛рдд. рдореБрдЦреНрдп рд╡реИрд╢рд┐рд╖реНрдЯреНрдп рдореНрд╣рдгрдЬреЗ рдЙрдЪреНрдЪ рдкрд╛рддрд│реАрдЪреЗ рдЕтАНреЕрдмреНрд╕реНрдЯреНрд░реЕрдХреНрд╢рди, STM32 рд▓рд╛рдЗрдирдордзреНрдпреЗ рд░рд╛рд╣реВрди рдПрдХрд╛ MCU рдордзреВрди рджреБрд╕-рдпрд╛ рдЖрдгрд┐ рдЕрдЧрджреА рдПрдХрд╛ рдХреЛрд░рдордзреВрди рджреБрд╕рд░реНтАНрдпрд╛ рдХреЛрд░рдордзреНрдпреЗ рддреНрд╡рд░реАрдд рд╕реНрдерд▓рд╛рдВрддрд░ рдХрд░рдгреНрдпрд╛рдЪреА рдХреНрд╖рдорддрд╛. рд╢реМрдХреАрдирд╛рдВрдирд╛ рдХреНрд╡рдЪрд┐рддрдЪ рдЕрд╢рд╛ рд╕рдорд╕реНрдпрд╛ рдпреЗрддрд╛рдд - рдЖрдордЪреА рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░рдЪреА рдирд┐рд╡рдб, рдирд┐рдпрдорд╛рдиреБрд╕рд╛рд░, AliExpress рд╡рд░реНрдЧреАрдХрд░рдгрд╛рдкреБрд░рддреА рдорд░реНрдпрд╛рджрд┐рдд рдЕрд╕рддреЗ рдЖрдгрд┐ рдЖрдореНрд╣реА рдмрд░реНтАНрдпрд╛рдЪрджрд╛ рдкреВрд░реНрдгрдкрдгреЗ рднрд┐рдиреНрди рдЪрд┐рдкреНрд╕рдордзреНрдпреЗ рд╕реНрдерд▓рд╛рдВрддрд░рд┐рдд рд╣реЛрддреЛ - рдЖрдореНрд╣реА Atmega рддреЗ STM, STM рддреЗ ESP, рдХрд┐рдВрд╡рд╛ рдХреЛрдгрддреАрд╣реА рдирд╡реАрди рдЧреЛрд╖реНрдЯ рдЖрдордЪреЗ рдЪреАрдиреА рдорд┐рддреНрд░ рдЖрдордЪреНрдпрд╛рд╡рд░ рдлреЗрдХрдгреЗ. HAL рдпреЗрдереЗ рдорджрдд рдХрд░рдгрд╛рд░ рдирд╛рд╣реА, рдЖрдгрд┐ рддреНрдпрд╛рдЪрд╛ рдЕрднреНрдпрд╛рд╕ рдХреЗрд▓реНрдпрд╛рдиреЗ рдмрд░рд╛рдЪ рд╡реЗрд│ рдЬрд╛рдИрд▓.

рдПрд▓рдПрд▓ рд╢рд┐рд▓реНрд▓рдХ рдЖрд╣реЗ - рдкрд░рдВрддреБ рддреНрдпрд╛рдкрд╛рд╕реВрди рдиреЛрдВрджрдгреАрдкрд░реНрдпрдВрдд рдЕрд░реНрдзрд╛ рдЯрдкреНрдкрд╛ рдЖрд╣реЗ. рд╡реИрдпрдХреНрддрд┐рдХрд░рд┐рддреНрдпрд╛, рдорд▓рд╛ рдорд╛рдЭреЗ рдореЕрдХреНрд░реЛ рд░рдЬрд┐рд╕реНрдЯрд░ рдкрддреНрддреНрдпрд╛рдВрд╕рд╣ рд▓рд┐рд╣рд┐рдгреЗ рдЙрдкрдпреБрдХреНрдд рд╡рд╛рдЯрддреЗ: рдореА рдбреЗрдЯрд╛рд╢реАрдЯрдЪрд╛ рдЕрдзрд┐рдХ рдХрд╛рд│рдЬреАрдкреВрд░реНрд╡рдХ рдЕрднреНрдпрд╛рд╕ рдХрд░рддреЛ, рдорд▓рд╛ рднрд╡рд┐рд╖реНрдпрд╛рдд рдХрд╛рдп рдЖрд╡рд╢реНрдпрдХ рдЖрд╣реЗ рдЖрдгрд┐ рдорд▓рд╛ рдирдХреНрдХреА рдХрд╛рдп рд▓рд╛рдЧрдгрд╛рд░ рдирд╛рд╣реА рдпрд╛рдЪрд╛ рдореА рд╡рд┐рдЪрд╛рд░ рдХрд░рддреЛ, рдореА рдорд╛рдЭреНрдпрд╛ рдкреНрд░реЛрдЧреНрд░рд╛рдордЪреА рд░рдЪрдирд╛ рдЕрдзрд┐рдХ рдЪрд╛рдВрдЧрд▓реА рдХрд░рддреЛ рдЖрдгрд┐ рд╕рд░реНрд╡рд╕рд╛рдзрд╛рд░рдгрдкрдгреЗ, рдорд╛рдд рдХрд░рдгреЗ рд▓рдХреНрд╖рд╛рдд рдареЗрд╡рдгреНрдпрд╛рд╕ рдорджрдд рдХрд░рддреЗ. .

рдпрд╛рд╡реНрдпрддрд┐рд░рд┐рдХреНрдд, рд▓реЛрдХрдкреНрд░рд┐рдп STM32F103 рдордзреНрдпреЗ рдПрдХ рд╕реВрдХреНрд╖реНрдорддрд╛ рдЖрд╣реЗ - рддреНрдпрд╛рд╕рд╛рдареА рджреЛрди рд╡рд┐рд╕рдВрдЧрдд LL рдЖрд╡реГрддреНрддреНрдпрд╛ рдЖрд╣реЗрдд, рдПрдХ STM рдХрдбреВрди рдЕрдзрд┐рдХреГрдд, рджреБрд╕рд░рд╛ Leaf Labs рдордзреАрд▓, STM32duino рдкреНрд░рдХрд▓реНрдкрд╛рдд рд╡рд╛рдкрд░рд▓рд╛ рдЬрд╛рддреЛ. рддреБрдореНрд╣реА рдУрдкрди рд╕реЛрд░реНрд╕ рд▓рд╛рдпрдмреНрд░рд░реА рд▓рд┐рд╣рд┐рд▓реНрдпрд╛рд╕ (рдЖрдгрд┐ рдорд╛рдЭреНрдпрд╛рдХрдбреЗ рдирдХреНрдХреА рд╣реЛрддреЗ рдЕрд╕реЗ рдХрд╛рд░реНрдп), рддреБрдореНрд╣реА рдПрдХрддрд░ рджреЛрди рдЖрд╡реГрддреНрддреНрдпрд╛ рдмрдирд╡рд▓реНрдпрд╛ рдкрд╛рд╣рд┐рдЬреЗрдд рдХрд┐рдВрд╡рд╛ рд░рдЬрд┐рд╕реНрдЯрд░реНрд╕рдордзреНрдпреЗ рдереЗрдЯ рдкреНрд░рд╡реЗрд╢ рдХреЗрд▓рд╛ рдкрд╛рд╣рд┐рдЬреЗ.

рд╢реЗрд╡рдЯреА, рдПрд▓рдПрд▓ рдХрд╛рдвреВрди рдЯрд╛рдХрдгреЗ, рдорд╛рдЭреНрдпрд╛ рдорддреЗ, рд╕реНрдерд▓рд╛рдВрддрд░ рд╕реБрд▓рдн рдХрд░рддреЗ, рд╡рд┐рд╢реЗрд╖рдд: рдЬрд░ рдЖрдкрдг рдкреНрд░рдХрд▓реНрдкрд╛рдЪреНрдпрд╛ рд╕реБрд░реБрд╡рд╛рддреАрдкрд╛рд╕реВрдирдЪ рддреНрдпрд╛рдЪреА рдпреЛрдЬрдирд╛ рдЖрдЦрд▓реА рдЕрд╕реЗрд▓. рдЕрддрд┐рд╢рдпреЛрдХреНрддреАрдЪреЗ рдЙрджрд╛рд╣рд░рдг: LL рд╢рд┐рд╡рд╛рдп Atmel Studio рдордзреНрдпреЗ Arduino blink рд▓рд┐рд╣реВ:

#include <stdint.h>

#define _REG(addr) (*(volatile uint8_t*)(addr))

#define DDR_B 0x24
#define OUT_B 0x25

int main(void)
{
    volatile uint32_t k;

    _REG(DDR_B) |= (1<<5);

    while(1)
    {
        _REG(OUT_B) |= (1<<5);
        for (k=0; k<50000; k++);
        _REG(OUT_B) &= ~(1<<5);
        for (k=0; k<50000; k++);
    } 
}

рдпрд╛ рдХреЛрдбрд╕рд╛рдареА STM8 (ST рд╡реНрд╣рд┐рдЬреНрдпреБрдЕрд▓ рдбреЗрд╕реНрдХрдЯреЙрдкрд╡рд░реВрди) рдЪрд╛рдпрдиреАрдЬ рдмреЛрд░реНрдбрд╡рд░реАрд▓ рдПрд▓рдИрдбреА рдмреНрд▓рд┐рдВрдХ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА, рддреНрдпрд╛рдд рджреЛрди рдкрддреНрддреЗ рдмрджрд▓рдгреЗ рдкреБрд░реЗрд╕реЗ рдЖрд╣реЗ:

#define DDR_B 0x5007
#define OUT_B 0x5005

рд╣реЛрдп, рдореА рдПрдХрд╛ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдмреЛрд░реНрдбрд╡рд░ рдПрд▓рдИрдбреА рдЬреЛрдбрдгреНрдпрд╛рдЪреЗ рд╡реИрд╢рд┐рд╖реНрдЯреНрдп рд╡рд╛рдкрд░рддреЛ, рддреЗ рдЦреВрдк рд╣рд│реВ рдмреНрд▓рд┐рдВрдХ рд╣реЛрдИрд▓, рдкрд░рдВрддреБ рддреЗ рд╣реЛрдИрд▓!

рдХреЛрдгрддреНрдпрд╛ рдкреНрд░рдХрд╛рд░рдЪреЗ рдбреЗрдЯрд╛рд╢реАрдЯ рдЖрд╣реЗрдд?

рд▓реЗрдЦрд╛рдВрдордзреНрдпреЗ рдЖрдгрд┐ рдордВрдЪрд╛рдВрд╡рд░, рд░рд╢рд┐рдпрди рдЖрдгрд┐ рдЗрдВрдЧреНрд░рдЬреА рджреЛрдиреНрд╣реА, "рдбреЗрдЯрд╛рд╢реАрдЯ" рдЪрд╛ рдЕрд░реНрде рдЪрд┐рдкреНрд╕рд╕рд╛рдареА рдХреЛрдгрддреЗрд╣реА рддрд╛рдВрддреНрд░рд┐рдХ рджрд╕реНрддрдРрд╡рдЬреАрдХрд░рдг рдЖрд╣реЗ рдЖрдгрд┐ рдореА рдпрд╛ рдордЬрдХреВрд░рд╛рдд рддреЗрдЪ рдХрд░рддреЛ. рдФрдкрдЪрд╛рд░рд┐рдХрдкрдгреЗ, рддреЗ рдЕрд╢рд╛ рджрд╕реНрддрдРрд╡рдЬрд╛рдВрдЪреЗ рдлрдХреНрдд рдПрдХ рдкреНрд░рдХрд╛рд░ рдЖрд╣реЗрдд:

рдорд╛рд╣рд┐рддреА рдкрддреНрд░рдХ - рдХрд╛рд░реНрдпрдкреНрд░рджрд░реНрд╢рди рд╡реИрд╢рд┐рд╖реНрдЯреНрдпреЗ, рд░рдгрдиреАрддрд┐рдХрдЦреЗрд│ рдЖрдгрд┐ рддрд╛рдВрддреНрд░рд┐рдХ рд╡реИрд╢рд┐рд╖реНрдЯреНрдпреЗ. рдХреЛрдгрддреНрдпрд╛рд╣реА рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХ рдШрдЯрдХрд╛рд╕рд╛рдареА рдЕрдирд┐рд╡рд╛рд░реНрдп. рдкрд╛рд░реНрд╢реНрд╡рднреВрдореАрдЪреА рдорд╛рд╣рд┐рддреА рд╣рд╛рддрд╛рд╢реА рдареЗрд╡рдгреНрдпрд╛рд╕рд╛рдареА рдЙрдкрдпреБрдХреНрдд рдЖрд╣реЗ, рдкрд░рдВрддреБ рддреНрдпрд╛рдд рд╡рд┐рдЪрд╛рд░рдкреВрд░реНрд╡рдХ рд╡рд╛рдЪрдгреНрдпрд╛рд╕рд╛рд░рдЦреЗ рдлрд╛рд░рд╕реЗ рдирд╛рд╣реА. рддрдерд╛рдкрд┐, рдЕрдирд╛рд╡рд╢реНрдпрдХ рдХрд╛рдЧрджрдкрддреНрд░реЗ рддрдпрд╛рд░ рд╣реЛрдК рдирдпреЗрдд рдореНрд╣рдгреВрди рд╕рд╛рдзреНрдпрд╛ рдЪрд┐рдкреНрд╕ рдЕрдиреЗрдХрджрд╛ рдбреЗрдЯрд╛рд╢реАрдЯрдкрд░реНрдпрдВрдд рдорд░реНрдпрд╛рджрд┐рдд рдЕрд╕рддрд╛рдд; рдпрд╛ рдкреНрд░рдХрд░рдгрд╛рдд рд╕рдВрджрд░реНрдн рдореЕрдиреНрдпреБрдЕрд▓ рдпреЗрдереЗ рд╕рдорд╛рд╡рд┐рд╖реНрдЯ рдЖрд╣реЗ.

рд╕рдВрджрд░реНрдн рдореЕрдиреНрдпреБрдЕрд▓ - рд╕реВрдЪрдирд╛ рд╕реНрд╡рддрдГ, 1000+ рдкреГрд╖реНрдард╛рдВрдЪреЗ рдирд┐рд░реЛрдЧреА рдкреБрд╕реНрддрдХ. рдЪрд┐рдкрдордзреНрдпреЗ рднрд░рд▓реЗрд▓реНрдпрд╛ рдкреНрд░рддреНрдпреЗрдХ рдЧреЛрд╖реНрдЯреАрдЪреЗ рдХрд╛рдо рддрдкрд╢реАрд▓рд╡рд╛рд░ рд╡рд░реНрдгрди рдХреЗрд▓реЗ рдЖрд╣реЗ. рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдорд╛рд╕реНрдЯрд░рд┐рдВрдЧрд╕рд╛рдареА рдореБрдЦреНрдп рджрд╕реНрддрдРрд╡рдЬ. рд╡рд┐рдкрд░реАрдд рдорд╛рд╣рд┐рддреА рдкрддреНрд░рдХ, рд╕реВрдЪрдирд╛ MK рдЪреНрдпрд╛ рд╡рд┐рд╕реНрддреГрдд рд╢реНрд░реЗрдгреАрд╕рд╛рдареА рд▓рд┐рд╣рд┐рд▓реЗрд▓реНрдпрд╛ рдЖрд╣реЗрдд; рддреНрдпрд╛рдордзреНрдпреЗ рдЖрдкрд▓реНрдпрд╛ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдореЙрдбреЗрд▓рдордзреНрдпреЗ рдЧрд╣рд╛рд│ рдЕрд╕рд▓реЗрд▓реНрдпрд╛ рдкрд░рд┐рдзреАрдВрдмрджреНрджрд▓ рдмрд░реАрдЪ рдорд╛рд╣рд┐рддреА рдЕрд╕рддреЗ.

рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдореЕрдиреНрдпреБрдЕрд▓ рдХрд┐рдВрд╡рд╛ рд╕реВрдЪрдирд╛ рд╕реЗрдЯ рдореЕрдиреНрдпреБрдЕрд▓ - рдЕрджреНрд╡рд┐рддреАрдп рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХрдорд╛рдВрдбрд╕рд╛рдареА рд╕реВрдЪрдирд╛. рдЕрд╕реЗрдВрдмреНрд▓реА рднрд╛рд╖реЗрдд рдХрд╛рд░реНрдпрдХреНрд░рдо рдХрд░рдгрд╛рд░реНтАНрдпрд╛рдВрд╕рд╛рдареА рдбрд┐рдЭрд╛рдЗрди рдХреЗрд▓реЗрд▓реЗ. рдХрдВрдкрд╛рдЗрд▓рд░ рд▓реЗрдЦрдХ рд╕рдХреНрд░рд┐рдпрдкрдгреЗ рдХреЛрдб рдСрдкреНрдЯрд┐рдорд╛рдЗрдЭ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рд╡рд╛рдкрд░рддрд╛рдд, рддреНрдпрд╛рдореБрд│реЗ рд╕рд░реНрд╡рд╕рд╛рдзрд╛рд░рдгрдкрдгреЗ рдЖрдореНрд╣рд╛рд▓рд╛ рддреНрдпрд╛рдЪреА рдЧрд░рдЬ рднрд╛рд╕рдгрд╛рд░ рдирд╛рд╣реА. рдкрд░рдВрддреБ рдпреЗрдереЗ рдкрд╛рд╣рдгреЗ рд╕рд╛рдорд╛рдиреНрдп рд╕рдордЬрдгреНрдпрд╛рд╕рд╛рдареА, рдХрд╛рд╣реА рд╡рд┐рд╢рд┐рд╖реНрдЯ рдЖрджреЗрд╢ рдЬрд╕реЗ рдХреА рд╡реНрдпрддреНрдпрдп рдмрд╛рд╣реЗрд░ рдпреЗрдгреЗ, рддрд╕реЗрдЪ рдбреАрдмрдЧрд░ рд╕рдХреНрд░рд┐рдпрдкрдгреЗ рд╡рд╛рдкрд░рдгреНрдпрд╛рд╕рд╛рдареА рдЙрдкрдпреБрдХреНрдд рдЖрд╣реЗ.

рдЕрд░реНрдЬрд╛рдЪреА рдиреЛрдВрдж - рд╡рд┐рд╢рд┐рд╖реНрдЯ рд╕рдорд╕реНрдпрд╛ рд╕реЛрдбрд╡рдгреНрдпрд╛рд╕рд╛рдареА рдЙрдкрдпреБрдХреНрдд рдЯрд┐рдкрд╛, рдЕрдиреЗрдХрджрд╛ рдХреЛрдб рдЙрджрд╛рд╣рд░рдгрд╛рдВрд╕рд╣.

рдЗрд░реЗрдЯрд╛ рд╢реАрдЯ - рд╡рд░реНрдХрдЕрд░рд╛рдЙрдВрдб рдкрд░реНрдпрд╛рдпрд╛рдВрд╕рд╣ рдЧреИрд░-рдорд╛рдирдХ рдЪрд┐рдк рд╡рд░реНрддрдирд╛рдЪреНрдпрд╛ рдкреНрд░рдХрд░рдгрд╛рдВрдЪреЗ рд╡рд░реНрдгрди, рдЕрд╕рд▓реНрдпрд╛рд╕.

рдбреЗрдЯрд╛рд╢реАрдЯрдордзреНрдпреЗ рдХрд╛рдп рдЖрд╣реЗ

рдереЗрдЯ рдорд╛рд╣рд┐рддреА рдкрддреНрд░рдХ рдЖрдореНрд╣рд╛рд▓рд╛ рдЦрд╛рд▓реАрд▓ рд╡рд┐рднрд╛рдЧрд╛рдВрдЪреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдЕрд╕реВ рд╢рдХрддреЗ:

рдбрд┐рд╡реНрд╣рд╛рдЗрд╕ рд╕рд╛рд░рд╛рдВрд╢ - рдбреЗрдЯрд╛рд╢реАрдЯрдЪреЗ рдкрд╣рд┐рд▓реЗ рдкреГрд╖реНрда рдереЛрдбрдХреНрдпрд╛рдд рдбрд┐рд╡реНрд╣рд╛рдЗрд╕рдЪреЗ рд╡рд░реНрдгрди рдХрд░рддреЗ. рдЬреЗрд╡реНрд╣рд╛ рддреБрдореНрд╣рд╛рд▓рд╛ рдХреБрдареЗрддрд░реА рдЪрд┐рдк рд╕рд╛рдкрдбрд▓реА (рддреА рд╕реНрдЯреЛрдЕрд░рдордзреНрдпреЗ рдкрд╛рд╣рд┐рд▓реА, рд╕реЛрд▓реНрдбрд░ рдХреЗрд▓реА, рдЙрд▓реНрд▓реЗрдЦ рдЖрд▓рд╛) рдЖрдгрд┐ рддреЗ рдХрд╛рдп рдЖрд╣реЗ рддреЗ рд╕рдордЬреВрди рдШреНрдпрд╛рдпрдЪреЗ рдЕрд╕реЗрд▓ рдЕрд╢рд╛ рдкрд░рд┐рд╕реНрдерд┐рддреАрдд рдЦреВрдк рдЙрдкрдпреБрдХреНрдд.

рд╕рд╛рдорд╛рдиреНрдп рд╡рд░реНрдгрди - рд▓рд╛рдЗрдирдордзреАрд▓ рдЪрд┐рдкреНрд╕рдЪреНрдпрд╛ рдХреНрд╖рдорддреЗрдЪреЗ рдЕрдзрд┐рдХ рддрдкрд╢реАрд▓рд╡рд╛рд░ рд╡рд░реНрдгрди.

рдкрд┐рдирдЖрдЙрдЯреНрд╕ - рд╕рд░реНрд╡ рд╕рдВрднрд╛рд╡реНрдп рдЪрд┐рдк рдкреЕрдХреЗрдЬреЗрд╕рд╕рд╛рдареА рдкрд┐рдирдЖрдЙрдЯ рдЖрдХреГрддреА (рдХреЛрдгрддрд╛ рдкрд┐рди рдХреЛрдгрддреНрдпрд╛ рдкрд╛рдпрд╛рд╡рд░ рдЖрд╣реЗ).

рдкрд┐рди рд╡рд░реНрдгрди - рдкреНрд░рддреНрдпреЗрдХ рдкрд┐рдирдЪрд╛ рдЙрджреНрджреЗрд╢ рдЖрдгрд┐ рдХреНрд╖рдорддрд╛рдВрдЪреЗ рд╡рд░реНрдгрди.

рд╕реНрдореГрддреА рдирдХрд╛рд╢рд╛ - рдЖрдореНрд╣рд╛рд▓рд╛ рдореЗрдорд░реАрдордзреНрдпреЗ рдкрддреНрддреНрдпрд╛рдЪреНрдпрд╛ рдирдХрд╛рд╢рд╛рдЪреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдЕрд╕рдгреНрдпрд╛рдЪреА рд╢рдХреНрдпрддрд╛ рдирд╛рд╣реА, рдкрд░рдВрддреБ рдХрд╛рд╣реАрд╡реЗрд│рд╛ рддреНрдпрд╛рдд рдиреЛрдВрджрдгреА рдмреНрд▓реЙрдХ рдкрддреНрддреНрдпрд╛рдВрдЪреЗ рд╕рд╛рд░рдгреА рджреЗрдЦреАрд▓ рд╕рдорд╛рд╡рд┐рд╖реНрдЯ рдЕрд╕рддреЗ.

рдирдХрд╛рд╢рд╛ рдиреЛрдВрджрдгреА рдХрд░рд╛ - рд░рдЬрд┐рд╕реНрдЯрд░ рдмреНрд▓реЙрдХреНрд╕рдЪреНрдпрд╛ рдкрддреНрддреНрдпрд╛рдВрдЪреЗ рд╕рд╛рд░рдгреА, рдирд┐рдпрдорд╛рдиреБрд╕рд╛рд░, рдбреЗрдЯрд╛рд╢реАрдЯрдордзреНрдпреЗ рд╕реНрдерд┐рдд рдЖрд╣реЗ рдЖрдгрд┐ рд╕рдВрджрд░реНрдн рдкреБрд╕реНрддрд┐рдХрд╛ - рдлрдХреНрдд рд╢рд┐рдлреНрдЯреНрд╕ (рдкрддреНрддрд╛ рдСрдлрд╕реЗрдЯ).

рд╡рд┐рджреНрдпреБрдд рдЧреБрдгрдзрд░реНрдо - рдпрд╛ рд╡рд┐рднрд╛рдЧрд╛рдд рдЖрдореНрд╣рд╛рд▓рд╛ рдкреНрд░рд╛рдореБрдЦреНрдпрд╛рдиреЗ рд╕реНрд╡рд╛рд░рд╕реНрдп рдЖрд╣реЗ рдкрд░рд┐рдкреВрд░реНрдг рдХрдорд╛рд▓ рд░реЗрдЯрд┐рдВрдЧ, рдкреНрд░рддрд┐ рдЪрд┐рдк рдЬрд╛рд╕реНрддреАрдд рдЬрд╛рд╕реНрдд рд▓реЛрдб рд╕реВрдЪреАрдмрджреНрдз рдХрд░рдгреЗ. рдЕрд╡рд┐рдирд╛рд╢реА Atmega328p рдЪреНрдпрд╛ рд╡рд┐рдкрд░реАрдд, рдмрд╣реБрддреЗрдХ MKs рддреБрдореНрд╣рд╛рд▓рд╛ рдЧрдВрднреАрд░ рднрд╛рд░ рдкрд┐рдирд╢реА рдЬреЛрдбрдгреНрдпрд╛рдЪреА рдкрд░рд╡рд╛рдирдЧреА рджреЗрдд тАЛтАЛтАЛтАЛрдирд╛рд╣реАрдд, рдЬреЗ Arduinists рд╕рд╛рдареА рдПрдХ рдЕрдкреНрд░рд┐рдп рдЖрд╢реНрдЪрд░реНрдп рдмрдирддреЗ.

рдкреЕрдХреЗрдЬ рдорд╛рд╣рд┐рддреА - рдЙрдкрд▓рдмреНрдз рдХреЗрд╕рд╛рдВрдЪреА рд░реЗрдЦрд╛рдЪрд┐рддреНрд░реЗ, рддреБрдордЪреЗ рдмреЛрд░реНрдб рдбрд┐рдЭрд╛рдЗрди рдХрд░рддрд╛рдирд╛ рдЙрдкрдпреБрдХреНрдд.

рд╕рдВрджрд░реНрдн рдореЕрдиреНрдпреБрдЕрд▓ рд╕рдВрд░рдЪрдирд╛рддреНрдордХрдкрдгреЗ рддреНрдпрд╛рдВрдЪреНрдпрд╛ рд╢реАрд░реНрд╖рдХрд╛рдордзреНрдпреЗ рджрд░реНрд╢рд╡рд┐рд▓реЗрд▓реНрдпрд╛ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдкрд░рд┐рдзреАрдВрдирд╛ рд╕рдорд░реНрдкрд┐рдд рд╡рд┐рднрд╛рдЧрд╛рдВрдЪрд╛ рд╕рдорд╛рд╡реЗрд╢ рдЖрд╣реЗ. рдкреНрд░рддреНрдпреЗрдХ рдЕрдзреНрдпрд╛рдп рддреАрди рднрд╛рдЧрд╛рдВрдордзреНрдпреЗ рд╡рд┐рднрд╛рдЧрд▓рд╛ рдЬрд╛рдК рд╢рдХрддреЛ:

рдЖрдврд╛рд╡рд╛, рдкрд░рд┐рдЪрдп, рд╡реИрд╢рд┐рд╖реНрдЯреНрдпреЗ - рдкрд░рд┐рдзреАрдп рдХреНрд╖рдорддрд╛рдВрдЪреЗ рд╡рд┐рд╣рдВрдЧрд╛рд╡рд▓реЛрдХрди;

рдХрд╛рд░реНрдпрд╛рддреНрдордХ рд╡рд░реНрдгрди, рд╡рд╛рдкрд░ рдорд╛рд░реНрдЧрджрд░реНрд╢рдХ рдХрд┐рдВрд╡рд╛ рдлрдХреНрдд рд╡рд┐рднрд╛рдЧрд╛рдЪрд╛ рдореБрдЦреНрдп рдмреНрд▓реЙрдХ - рдкрд░рд┐рдзреАрдп рдЙрдкрдХрд░рдгрд╛рдЪреНрдпрд╛ рддрддреНрддреНрд╡рд╛рдВрдЪреЗ рддрдкрд╢реАрд▓рд╡рд╛рд░ рдордЬрдХреВрд░ рд╡рд░реНрдгрди рдЖрдгрд┐ рддреЗ рдХрд╕реЗ рд╡рд╛рдкрд░рд╛рд╡реЗ;

рдиреЛрдВрджрдгреА - рдирд┐рдпрдВрддреНрд░рдг рдиреЛрдВрджрдгреАрдЪреЗ рд╡рд░реНрдгрди. рдЬреАрдкреАрдЖрдпрдУ рдХрд┐рдВрд╡рд╛ рдПрд╕рдкреАрдЖрдп рд╕рд╛рд░рдЦреНрдпрд╛ рд╕рд╛рдзреНрдпрд╛ рдкреНрд░рдХрд░рдгрд╛рдВрдордзреНрдпреЗ, рдкреЗрд░рд┐рдлреЗрд░рд▓реНрд╕ рд╡рд╛рдкрд░рдгреЗ рд╕реБрд░реВ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рд╣реЗ рдкреБрд░реЗрд╕реЗ рдЕрд╕реВ рд╢рдХрддреЗ, рдкрд░рдВрддреБ рдмрд░реЗрдЪрджрд╛ рддреБрдореНрд╣рд╛рд▓рд╛ рдорд╛рдЧреАрд▓ рднрд╛рдЧ рд╡рд╛рдЪрд╛рд╡реЗ рд▓рд╛рдЧрддрд╛рдд.

рдбреЗрдЯрд╛рд╢реАрдЯ рдХрд╕реЗ рд╡рд╛рдЪрд╛рдпрдЪреЗ

рдбреЗрдЯрд╛рд╢реАрдЯ, рд╕рд╡рдпреАрдмрд╛рд╣реЗрд░, рддреНрдпрд╛рдВрдЪреЗ рдкреНрд░рдорд╛рдг рдЖрдгрд┐ рдЕрдирд╛рдХрд▓рдиреАрдп рд╢рдмреНрджрд╛рдВрдЪреНрдпрд╛ рд╡рд┐рдкреБрд▓рддреЗрдиреЗ рддреБрдореНрд╣рд╛рд▓рд╛ рдШрд╛рдмрд░рд╡рддрд╛рдд. рдЦрд░рдВ рддрд░, рдЬрд░ рддреБрдореНрд╣рд╛рд▓рд╛ рдХрд╛рд╣реА рд▓рд╛рдЗрдл рд╣реЕрдХ рдорд╛рд╣рд┐рдд рдЕрд╕рддреАрд▓ рддрд░ рд╕рд░реНрд╡рдХрд╛рд╣реА рдЗрддрдХреЗ рднрдпрд╛рдирдХ рдирд╛рд╣реА.

рд╕реЗрдЯ рдЪрд╛рдВрдЧрд▓рд╛ рдкреАрдбреАрдПрдл рд░реАрдбрд░. рдХрд╛рдЧрджреА рд╕реВрдЪрдирд╛рдВрдЪреНрдпрд╛ рдЧреМрд░рд╡рд╢рд╛рд▓реА рдкрд░рдВрдкрд░реЗрдд рдбреЗрдЯрд╛рд╢реАрдЯ рд▓рд┐рд╣рд┐рд▓реЗрд▓реНрдпрд╛ рдЖрд╣реЗрдд; рддреЗ рдЫрд╛рдкрдгреНрдпрд╛рд╕рд╛рдареА, рдкреНрд▓рд╛рд╕реНрдЯрд┐рдХ рдмреБрдХрдорд╛рд░реНрдХрд╕рд╣ рдШрд╛рд▓рдгреНрдпрд╛рд╕рд╛рдареА рдЖрдгрд┐ рд╢рд┐рд╡рдгреНрдпрд╛рд╕рд╛рдареА рдЙрддреНрдХреГрд╖реНрдЯ рдЖрд╣реЗрдд. рддреНрдпрд╛рддреАрд▓ рд╣рд╛рдпрдкрд░рдЯреЗрдХреНрд╕реНрдЯ рдЯреНрд░реЗрд╕ рдкреНрд░рдорд╛рдгрд╛рдд рдЖрдврд│рддрд╛рдд. рд╕реБрджреИрд╡рд╛рдиреЗ, рдХрд┐рдорд╛рди рджрд╕реНрддрдРрд╡рдЬрд╛рдЪреА рд░рдЪрдирд╛ рдмреБрдХрдорд╛рд░реНрдХреНрд╕рд╕рд╣ рдбрд┐рдЭрд╛рдЗрди рдХреЗрд▓реЗрд▓реА рдЖрд╣реЗ, рддреНрдпрд╛рдореБрд│реЗ рд╕реБрд▓рдн рдиреЗрд╡реНрд╣рд┐рдЧреЗрд╢рдирд╕рд╣ рдпреЛрдЧреНрдп рд╡рд╛рдЪрдХ рдЦреВрдк рдЖрд╡рд╢реНрдпрдХ рдЖрд╣реЗ.

рдбреЗрдЯрд╛рд╢реАрдЯ рд╕реНрдЯреНрд░реЙрд╕реНрдЯреНрд░рдкрдЪреЗ рдкрд╛рдареНрдпрдкреБрд╕реНрддрдХ рдирд╛рд╣реА; рддреНрдпрд╛рдд рд╕рдорд╛рд╡рд┐рд╖реНрдЯ рдЖрд╣реЗ рд╕рд░реНрд╡ рдХрд╛рд╣реА рд╡рд╛рдЪрдгреНрдпрд╛рдЪреА рдЧрд░рдЬ рдирд╛рд╣реА. рддреБрдореНрд╣реА рдорд╛рдЧреАрд▓ рд╕рд▓реНрд▓реНрдпрд╛рдЪрд╛ рд╡рд╛рдкрд░ рдХреЗрд▓рд╛ рдЕрд╕рд▓реНрдпрд╛рд╕, рдлрдХреНрдд рдмреБрдХрдорд╛рд░реНрдХ рдмрд╛рд░рдордзреАрд▓ рдЗрдЪреНрдЫрд┐рдд рд╡рд┐рднрд╛рдЧ рд╢реЛрдзрд╛.

рдбреЗрдЯрд╛рд╢реАрдЯ, рд╡рд┐рд╢реЗрд╖рддрдГ рд╕рдВрджрд░реНрдн рдкреБрд╕реНрддрд┐рдХрд╛, рд╡рд┐рд╢рд┐рд╖реНрдЯ рдЪрд┐рдкрдЪреНрдпрд╛ рдХреНрд╖рдорддреЗрдЪреЗ рд╡рд░реНрдгрди рдХрд░реВ рд╢рдХрдд рдирд╛рд╣реА, рдкрд░рдВрддреБ рд╕рдВрдкреВрд░реНрдг рдУрд│. рдпрд╛рдЪрд╛ рдЕрд░реНрде рдЕрд░реНрдзреА рдХрд┐рдВрд╡рд╛ рджреЛрди рддреГрддреАрдпрд╛рдВрд╢ рдорд╛рд╣рд┐рддреА рддреБрдордЪреНрдпрд╛ рдЪрд┐рдкрд╢реА рд╕рдВрдмрдВрдзрд┐рдд рдирд╛рд╣реА. TIM7 рдиреЛрдВрджрдгреАрдЪрд╛ тАЛтАЛрдЕрднреНрдпрд╛рд╕ рдХрд░рдгреНрдпрд╛рдкреВрд░реНрд╡реА, рдЪреЗрдХ рдЗрди рдХрд░рд╛ рд╕рд╛рдорд╛рдиреНрдп рд╡рд░реНрдгрди, рддреБрдордЪреНрдпрд╛рдХрдбреЗ рдЖрд╣реЗ рдХрд╛?

рдорд╛рд╣реАрдд рдЖрд╣реЗ рдЗрдВрдЧреНрд░рдЬреА рд╕рд╛рдареА рдкреБрд░реЗрд╕реЗ рдЖрд╣реЗ рдореВрд▓рднреВрдд рдкрд╛рддрд│реА. рдбреЗрдЯрд╛рд╢реАрдЯрдордзреНрдпреЗ рд╕рд░рд╛рд╕рд░реА рдиреЗрдЯрд┐рд╡реНрд╣ рд╕реНрдкреАрдХрд░рд▓рд╛ рдЕрдкрд░рд┐рдЪрд┐рдд рдЕрд╕рд▓реЗрд▓реНрдпрд╛ рдЕрд░реНрдзреНрдпрд╛ рд╕рдВрдЬреНрдЮрд╛ рдЖрдгрд┐ рд╕рд╛рдзреНрдпрд╛ рдХрдиреЗрдХреНрдЯрд┐рдВрдЧ рд╕реНрдЯреНрд░рдХреНрдЪрд░реНрд╕рдЪрд╛ рдЕрд░реНрдзрд╛ рд╕рдорд╛рд╡реЗрд╢ рдЕрд╕рддреЛ. рдЪреАрдиреА рдЗрдВрдЧреНрд░рдЬреАрдордзреНрдпреЗ рдЙрддреНрдХреГрд╖реНрдЯ рдЪреАрдиреА рдбреЗрдЯрд╛рд╢реАрдЯреНрд╕ рджреЗрдЦреАрд▓ рдЖрд╣реЗрдд, рдЬреЗрдереЗ рдЕрд░реНрдзреЗ рджреЗрдЦреАрд▓ рд╕рдВрдЬреНрдЮрд╛ рдЖрд╣реЗрдд рдЖрдгрд┐ рджреБрд╕рд░рд╛ рдЕрд░реНрдзрд╛ рд╢рдмреНрдж рдпрд╛рджреГрдЪреНрдЫрд┐рдХ рд╕рдВрдЪ рдЖрд╣реЗрдд.

рднреЗрдЯрд▓реЗ рддрд░ рдЕрдкрд░рд┐рдЪрд┐рдд рд╢рдмреНрдж, рдЗрдВрдЧреНрд░рдЬреА-рд░рд╢рд┐рдпрди рд╢рдмреНрджрдХреЛрд╢ рд╡рд╛рдкрд░реВрди рднрд╛рд╖рд╛рдВрддрд░ рдХрд░рдгреНрдпрд╛рдЪрд╛ рдкреНрд░рдпрддреНрди рдХрд░реВ рдирдХрд╛. рдЬрд░ рддреБрдореНрд╣реА рдЧреЛрдВрдзрд│рд╛рдд рдЕрд╕рд╛рд▓ рддрд░ рд╣рд┐рд╕реНрдЯрд░реЗрд╕рд┐рд╕, рдирдВрддрд░ рднрд╛рд╖рд╛рдВрддрд░ "рд╣рд┐рд╕реНрдЯреЗрд░реЗрд╕рд┐рд╕" рддреБрдореНрд╣рд╛рд▓рд╛ рдЙрдмрджрд╛рд░ рдХрд░рдгрд╛рд░ рдирд╛рд╣реА. Google рд╡рд╛рдкрд░рд╛, рд╕реНрдЯреЕрдХ рдУрд╡реНрд╣рд░рдлреНрд▓реЛ, рд╡рд┐рдХрд┐рдкреАрдбрд┐рдпрд╛, рдордВрдЪ, рдЬреЗрдереЗ рдЖрд╡рд╢реНрдпрдХ рд╕рдВрдХрд▓реНрдкрдирд╛ рдЕрд╕реЗрд▓ рд╕реЛрдкреНрдпрд╛ рд╢рдмреНрджрд╛рдд рдЙрджрд╛рд╣рд░рдгрд╛рдВрд╕рд╣ рд╕реНрдкрд╖реНрдЯ рдХреЗрд▓реЗ.

рддреБрдореНрд╣реА рдЬреЗ рд╡рд╛рдЪрддрд╛ рддреЗ рд╕рдордЬреВрди рдШреЗрдгреНрдпрд╛рдЪрд╛ рд╕рд░реНрд╡реЛрддреНрддрдо рдорд╛рд░реНрдЧ рдЖрд╣реЗ рдХреНрд░рд┐рдпрд╛ рддрдкрд╛рд╕рд╛. рдореНрд╣рдгреВрди, рддреБрдореНрд╣реА рд╕реНрд╡рддрдГрд▓рд╛ рдУрд│рдЦрдд рдЕрд╕рд▓реЗрд▓реЗ рдбреАрдмрдЧ рдмреЛрд░реНрдб рд╣рд╛рддрд╛рдд рдареЗрд╡рд╛, рдХрд┐рдВрд╡рд╛ рдЖрдгрдЦреА рджреЛрди, рдЬрд░ рддреБрдордЪрд╛ рдЕрдЬреВрдирд╣реА рдХрд╛рд╣реАрддрд░реА рдЧреИрд░рд╕рдордЬ рдЭрд╛рд▓рд╛ рдЕрд╕реЗрд▓ рдЖрдгрд┐ рдЬрд╛рджреВрдЪрд╛ рдзреВрд░ рджрд┐рд╕рд▓рд╛ рдЕрд╕реЗрд▓.

рдЬреЗрд╡реНрд╣рд╛ рддреБрдореНрд╣реА рддреБрдордЪреНрдпрд╛ рдбреЗрдЯрд╛рд╢реАрдЯрд▓рд╛ рд╣рд╛рддрд╛рд╢реА рдареЗрд╡рд╛рд╡реЗ рддреЗрд╡реНрд╣рд╛ рд╣реА рдЪрд╛рдВрдЧрд▓реА рд╕рд╡рдп рдЖрд╣реЗ рдПрдЦрд╛рджреНрдпрд╛рдЪреЗ рдЯреНрдпреВрдЯреЛрд░рд┐рдпрд▓ рд╡рд╛рдЪрдд рдЖрд╣реЗ рдХрд┐рдВрд╡рд╛ рджреБрд╕рд▒реНрдпрд╛рдЪреНрдпрд╛ рд▓рд╛рдпрдмреНрд░рд░реАрдЪрд╛ рдЕрднреНрдпрд╛рд╕ рдХрд░рдд рдЖрд╣реЗ. рд╣реЗ рд╢рдХреНрдп рдЖрд╣реЗ рдХреА рддреНрдпрд╛рдд рддреБрдореНрд╣рд╛рд▓рд╛ рддреБрдордЪреНрдпрд╛ рд╕рдорд╕реНрдпреЗрдЪреЗ рдЕрдзрд┐рдХ рдЗрд╖реНрдЯрддрдо рд╕рдорд╛рдзрд╛рди рдорд┐рд│реЗрд▓. рдЖрдгрд┐ рддреНрдпрд╛рдЙрд▓рдЯ - рдЬрд░ рддреБрдореНрд╣рд╛рд▓рд╛ рдбреЗрдЯрд╛рд╢реАрдЯрд╡рд░реВрди рд╣реЗ рд╕рдордЬреВ рд╢рдХрдд рдирд╕реЗрд▓ рдХреА рд░рдЬрд┐рд╕реНрдЯрд░ рдкреНрд░рддреНрдпрдХреНрд╖рд╛рдд рдХрд╕реЗ рдХрд╛рд░реНрдп рдХрд░рддреЗ, рддрд░ рддреЗ рдЧреБрдЧрд▓ рдХрд░рд╛: рдмрд╣реБрдзрд╛, рдХреЛрдгреАрддрд░реА рдЖрдзреАрдЪ рд╕рд░реНрд╡ рдХрд╛рд╣реА рд╕реЛрдкреНрдпрд╛ рд╢рдмреНрджрд╛рдд рд╡рд░реНрдгрди рдХреЗрд▓реЗ рдЖрд╣реЗ рдХрд┐рдВрд╡рд╛ GitHub рд╡рд░ рд╕реНрдкрд╖реНрдЯ рдХреЛрдб рд╕реЛрдбрд▓рд╛ рдЖрд╣реЗ.

рд╢рдмреНрджрдХреЛрд╢

рддреБрдореНрд╣рд╛рд▓рд╛ рдбреЗрдЯрд╛рд╢реАрдЯрдЪреА рддреНрд╡рд░реАрдд рд╕рд╡рдп рд╣реЛрдгреНрдпрд╛рд╕рд╛рдареА рдХрд╛рд╣реА рдЙрдкрдпреБрдХреНрдд рд╢рдмреНрдж рдЖрдгрд┐ рдЪрд┐рдиреНрд╣реЗ. рдЧреЗрд▓реНрдпрд╛ рджреЛрди-рддреАрди рджрд┐рд╡рд╕рд╛рдВрдд рдорд▓рд╛ рдЬреЗ рдЖрдард╡рд▓реЗ, рддреНрдпрд╛рдд рднрд░ рдЖрдгрд┐ рджреБрд░реБрд╕реНрддреНрдпрд╛ рд╕реНрд╡рд╛рдЧрддрд╛рд░реНрд╣ рдЖрд╣реЗрдд.

рд╡рд┐рджреНрдпреБрдд
VDC, рдЦрд░реЗ - "рдкреНрд▓рд╕", рдЕрдиреНрди
рд╡реНрд╣реАрдПрд╕, рд╡реНрд╣реА - "рд╡рдЬрд╛", рдкреГрдереНрд╡реА
рд╡рд░реНрддрдорд╛рди - рд╡рд░реНрддрдорд╛рди
рд╡рд┐рджреНрдпреБрддрджрд╛рдм - рд╡рд┐рджреНрдпреБрддрджрд╛рдм
рдкреНрд░рд╡рд╛рд╣ рдмреБрдбрдгреЗ - рдмрд╛рд╣реНрдп рд▓реЛрдбрд╕рд╛рдареА "рдЧреНрд░рд╛рдЙрдВрдб" рдореНрд╣рдгреВрди рдХрд╛рд░реНрдп рдХрд░рд╛
рд╕реНрд░реЛрдд рдХрд░рдВрдЯ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА - рд╢рдХреНрддреА рдмрд╛рд╣реНрдп рднрд╛рд░
рдЙрдЪреНрдЪ рд╕рд┐рдВрдХ/рд╕реНрд░реЛрдд рдкрд┐рди - рд▓реЛрдб рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА рд╡рд╛рдвреАрд╡ "рд╕рд╣рд┐рд╖реНрдгреБрддрд╛" рд╕рд╣ рдкрд┐рди

IO
рдПрдЪ, рдЙрдЪреНрдЪ - Vcc рдкрд┐рдирд╡рд░
рдПрд▓, рдХрдореА - Vss рдкрд┐рдирд╡рд░
рдЙрдЪреНрдЪ рдкреНрд░рддрд┐рдмрд╛рдзрд╛, рд╣рд╛рдп-рдЭреЗрдб, рддрд░рдВрдЧрдгрд╛рд░рд╛ - рдкрд┐рдирд╡рд░ рдХрд╛рд╣реАрд╣реА рдирд╛рд╣реА, "рдЙрдЪреНрдЪ рдкреНрд░рддрд┐рдХрд╛рд░", рддреЗ рдмрд╛рд╣реНрдп рдЬрдЧрд╛рд╕рд╛рдареА рдЕрдХреНрд╖рд░рд╢рдГ рдЕрджреГрд╢реНрдп рдЖрд╣реЗ.
рдХрдордХреБрд╡рдд рдЦреЗрдЪрдгреЗ, рдХрдордХреБрд╡рдд рдЦрд╛рд▓реА рдЦреЗрдЪрд╛ - рдЕрдВрдЧрднреВрдд рдкреБрд▓-рдЕрдк/рдкреБрд▓-рдбрд╛рдЙрди рд░реЗрдЭрд┐рд╕реНрдЯрд░, рдЕрдВрджрд╛рдЬреЗ 50 kOhm рдЪреНрдпрд╛ рд╕рдорддреБрд▓реНрдп (рдбреЗрдЯрд╛рд╢реАрдЯ рдкрд╣рд╛). рддреЗ рд╡рд╛рдкрд░рд▓реЗ рдЬрд╛рддреЗ, рдЙрджрд╛рд╣рд░рдгрд╛рд░реНрде, рдЗрдирдкреБрдЯ рдкрд┐рди рд╣рд╡реЗрдд рд▓рдЯрдХрдгреНрдпрд╛рдкрд╛рд╕реВрди рд░реЛрдЦрдгреНрдпрд╛рд╕рд╛рдареА, рдЬреНрдпрд╛рдореБрд│реЗ рдЦреЛрдЯреЗ рд╕рдХрд╛рд░рд╛рддреНрдордХ рдкрд░рд┐рдгрд╛рдо рд╣реЛрддрд╛рдд. рдХрдордХреБрд╡рдд - рдХрд╛рд░рдг рддреНрдпрд╛рд▓рд╛ "рд╡реНрдпрддреНрдпрдп рдЖрдгрдгреЗ" рд╕реЛрдкреЗ рдЖрд╣реЗ.
рдврдХрд▓рд╛ рдУрдврд╛ - рдкрд┐рди рдЖрдЙрдЯрдкреБрдЯ рдореЛрдб, рдЬреНрдпрд╛рдордзреНрдпреЗ рддреЗ рджрд░рдореНрдпрд╛рди рд╕реНрд╡рд┐рдЪ рдХрд░рддреЗ рдЙрдЪреНрдЪ ╨╕ рдХрдореА - Arduino рдХрдбреВрди рдирд┐рдпрдорд┐рдд рдЖрдЙрдЯрдкреБрдЯ.
рдЙрдШрдбрд╛ рдирд╛рд▓рд╛ - рдЖрдЙрдЯрдкреБрдЯ рдореЛрдбрдЪреЗ рдкрджрдирд╛рдо рдЬреНрдпрд╛рдордзреНрдпреЗ рдкрд┐рди рдПрдХрддрд░ рдЕрд╕реВ рд╢рдХрддреЛ рдХрдореА, рдХрд┐рдВрд╡рд╛ рдЙрдЪреНрдЪ рдкреНрд░рддрд┐рдмрд╛рдзрд╛/рдлреНрд▓реЛрдЯрд┐рдВрдЧ. рд╢рд┐рд╡рд╛рдп, рдЬрд╡рд│рдЬрд╡рд│ рдиреЗрд╣рдореАрдЪ рд╣рд╛ "рд╡рд╛рд╕реНрддрд╡рд┐рдХ" рдУрдкрди рдбреНрд░реЗрди рдирд╕рддреЛ; рддреЗрдереЗ рд╕рдВрд░рдХреНрд╖рдХ рдбрд╛рдпреЛрдб, рдкреНрд░рддрд┐рд░реЛрдзрдХ рдЖрдгрд┐ рдХрд╛рд╣реА рдирд╕рддрд╛рдд. рд╣реЗ рдлрдХреНрдд рдЧреНрд░рд╛рдЙрдВрдб/рдХреЛрдгрддреЗрд╣реА рдореЛрдбрд╕рд╛рдареА рдкрджрдирд╛рдо рдЖрд╣реЗ.
рдЦрд░реЗ рдЙрдШрдбреЗ рдЧрдЯрд╛рд░ - рдкрдг рд╣рд╛ рдЦрд░рд╛рдЦреБрд░рд╛ рдУрдкрди рдбреНрд░реЗрди рдЖрд╣реЗ: рдкрд┐рди рдЙрдШрдбрд╛ рдЕрд╕реЗрд▓ рддрд░ рдереЗрдЯ рдЬрдорд┐рдиреАрд╡рд░ рдЬрд╛рддреЛ, рдХрд┐рдВрд╡рд╛ рдмрдВрдж рдЕрд╕реЗрд▓ рддрд░ рддреЛ рдЕрд╡реНрдпрд╡рд╕реНрдерд┐рдд рд░рд╛рд╣рддреЛ. рдпрд╛рдЪрд╛ рдЕрд░реНрде рдЕрд╕рд╛ рдХреА, рдЖрд╡рд╢реНрдпрдХ рдЕрд╕рд▓реНрдпрд╛рд╕, Vcc рдкреЗрдХреНрд╖рд╛ рдЬрд╛рд╕реНрдд рд╡реНрд╣реЛрд▓реНрдЯреЗрдЬ рддреНрдпрд╛рддреВрди рдЬрд╛рдК рд╢рдХрддреЗ, рдкрд░рдВрддреБ рд╡рд┐рднрд╛рдЧрд╛рддреАрд▓ рдбреЗрдЯрд╛рд╢реАрдЯрдордзреНрдпреЗ рдХрдорд╛рд▓ рдЕрджреНрдпрд╛рдк рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХреЗрд▓реЗрд▓реА рдЖрд╣реЗ. рдкрд░рд┐рдкреВрд░реНрдг рдХрдорд╛рд▓ рд░реЗрдЯрд┐рдВрдЧ/рд╡реНрд╣реЛрд▓реНрдЯреЗрдЬ.

рдЗрдВрдЯрд░рдлреЗрд╕
рдорд╛рд▓рд┐рдХреЗрдд - рдорд╛рд▓рд┐рдХреЗрдд рдЬреЛрдбрд▓реЗрд▓реЗ
рд╕рд╛рдЦрд│реА рдХрд░рдгреЗ - рд╕реАрд░рд┐рдпрд▓ рдХрдиреЗрдХреНрд╢рди рд╡рд╛рдкрд░реВрди рд╕рд╛рдЦрд│реАрдд рдЪрд┐рдкреНрд╕ рдПрдХрддреНрд░ рдХрд░рд╛, рдЖрдЙрдЯрдкреБрдЯрдЪреА рд╕рдВрдЦреНрдпрд╛ рд╡рд╛рдврд╡рд╛.
рд╢рд┐рдлреНрдЯ - рд╢рд┐рдлреНрдЯ, рд╕рд╣рд╕рд╛ рдереЛрдбрд╛ рд╢рд┐рдлреНрдЯ рджрд░реНрд╢рд╡рддреЗ. рдЕрдиреБрдХреНрд░рдореЗ, рдордзреНрдпреЗ рд╢рд┐рдлреНрдЯ рдХрд░рдгреЗ ╨╕ рдмрд╛рд╣реЗрд░ рд╢рд┐рдлреНрдЯ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА - рдереЛрдбрд╛-рдереЛрдбрд╛ рдбреЗрдЯрд╛ рдкреНрд░рд╛рдкреНрдд рдЖрдгрд┐ рдкреНрд░рд╕рд╛рд░рд┐рдд рдХрд░рд╛.
рдХреБрдВрдбреА - рдПрдХ рдХреБрдВрдбреА рдЬреА рдмрдлрд░рд▓рд╛ рдХрд╡реНрд╣рд░ рдХрд░рддреЗ рдЖрдгрд┐ рддреНрдпрд╛рдордзреВрди рдмрд┐рдЯ рд╢рд┐рдлреНрдЯ рдХреЗрд▓реЗ рдЬрд╛рддрд╛рдд. рд╣рд╕реНрддрд╛рдВрддрд░рдг рдкреВрд░реНрдг рдЭрд╛рд▓реНрдпрд╛рд╡рд░, рдЭрдбрдк рдЙрдШрдбрддреЗ рдЖрдгрд┐ рдмрд┐рдЯреНрд╕ рдСрдкрд░реЗрдЯ рдХрд░рдгреНрдпрд╛рд╕ рд╕реБрд░рд╡рд╛рдд рдХрд░рддрд╛рдд.
рдШрдбреНрдпрд╛рд│рд╛рдд - рдереЛрдбреЗ-рдереЛрдбреЗ рд╣рд╕реНрддрд╛рдВрддрд░рдг рдХрд░рд╛, рд╕рд░реНрд╡ рдмрд┐рдЯреНрд╕ рдпреЛрдЧреНрдп рдард┐рдХрд╛рдгреА рд╢рд┐рдлреНрдЯ рдХрд░рд╛.
рджреБрд╣реЗрд░реА рдмрдлрд░, рд╕рд╛рд╡рд▓реА рдиреЛрдВрджрд╡рд╣реА, рдкреНрд░реАрд▓реЛрдб рд░рдЬрд┐рд╕реНрдЯрд░ - рдЗрддрд┐рд╣рд╛рд╕ рдкрджрдирд╛рдо, рдЬреЗрд╡реНрд╣рд╛ рдиреЛрдВрджрдгреА рдирд╡реАрди рдбреЗрдЯрд╛ рд╕реНрд╡реАрдХрд╛рд░рдгреНрдпрд╛рд╕ рд╕рдХреНрд╖рдо рдЕрд╕рдгреЗ рдЖрд╡рд╢реНрдпрдХ рдЖрд╣реЗ, рдкрд░рдВрддреБ рдХрд╛рд╣реА рдХреНрд╖рдгрд╛рдкрд░реНрдпрдВрдд рддреЗ рдзрд░реВрди рдареЗрд╡рд╛. рдЙрджрд╛рд╣рд░рдгрд╛рд░реНрде, PWM рдпреЛрдЧреНрдпрд░рд┐рддреНрдпрд╛ рдХрд╛рд░реНрдп рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА, рд╡рд░реНрддрдорд╛рди рдЪрдХреНрд░ рд╕рдВрдкреЗрдкрд░реНрдпрдВрдд рддреНрдпрд╛рдЪреЗ рдкреЕрд░рд╛рдореАрдЯрд░реНрд╕ (рдХрд░реНрддрд╡реНрдп рдЪрдХреНрд░, рд╡рд╛рд░рдВрд╡рд╛рд░рддрд╛) рдмрджрд▓реВ рдирдпреЗрдд, рдкрд░рдВрддреБ рдирд╡реАрди рдкреЕрд░рд╛рдореАрдЯрд░реНрд╕ рдЖрдзреАрдкрд╛рд╕реВрдирдЪ рд╣рд╕реНрддрд╛рдВрддрд░рд┐рдд рдХреЗрд▓реЗ рдЬрд╛рдК рд╢рдХрддрд╛рдд. рддреНрдпрд╛рдиреБрд╕рд╛рд░, рд╕рдзреНрдпрд╛рдЪреЗ рдпреЗрдереЗ рдареЗрд╡рд▓реЗ рдЖрд╣реЗрдд рд╕рд╛рд╡рд▓реА рдиреЛрдВрджрд╡рд╣реА, рдЖрдгрд┐ рдирд╡реАрди рдпреЗрддрд╛рдд рдкреНрд░реАрд▓реЛрдб рд░рдЬрд┐рд╕реНрдЯрд░, рд╕рдВрдмрдВрдзрд┐рдд рдЪрд┐рдк рд░рдЬрд┐рд╕реНрдЯрд░рд╡рд░ рд▓рд┐рд╣рд┐рд▓реЗ рдЬрд╛рдд рдЖрд╣реЗ.

рд╕рд░реНрд╡ рдкреНрд░рдХрд╛рд░рдЪреНрдпрд╛ рдЧреЛрд╖реНрдЯреА
prescaler - рд╡рд╛рд░рдВрд╡рд╛рд░рддрд╛ рдкреНрд░реАрд╕реНрдХреЗрд▓рд░
рдереЛрдбрд╛ рд╕реЗрдЯ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА - рдмрд┐рдЯ 1 рд╡рд░ рд╕реЗрдЯ рдХрд░рд╛
рдереЛрдбреЗ рд╕рд╛рдл/рд░реАрд╕реЗрдЯ рдХрд░рдгреНрдпрд╛рд╕рд╛рдареА - рдмрд┐рдЯ 0 рд╡рд░ рд░реАрд╕реЗрдЯ рдХрд░рд╛ (рд░реАрд╕реЗрдЯ рдХрд░рд╛ - рдПрд╕рдЯреАрдПрдо рдбреЗрдЯрд╛рд╢реАрдЯ рд╡реИрд╢рд┐рд╖реНрдЯреНрдп)

рдкреБрдвреЗ рдХрд╛рдп

рд╕рд░реНрд╡рд╕рд╛рдзрд╛рд░рдгрдкрдгреЗ, рдпреЗрдереЗ STM32 рдЖрдгрд┐ STM8 рд╡рд░реАрд▓ рддреАрди рдкреНрд░рдХрд▓реНрдкрд╛рдВрдЪреНрдпрд╛ рдкреНрд░рд╛рддреНрдпрдХреНрд╖рд┐рдХрд╛рдВрд╕рд╣ рдПрдХ рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рднрд╛рдЧ рдирд┐рдпреЛрдЬрд┐рдд рдХрд░рдгреНрдпрд╛рдд рдЖрд▓рд╛ рд╣реЛрддрд╛, рд╡рд┐рд╢реЗрд╖рдд: рдпрд╛ рд▓реЗрдЦрд╛рд╕рд╛рдареА рд▓рд╛рдЗрдЯ рдмрд▓реНрдм, SPI, рдЯрд╛рдЗрдорд░, PWM рдЖрдгрд┐ рд╡реНрдпрддреНрдпрдпрд╛рдВрд╕рд╣ рдбреЗрдЯрд╛рд╢реАрдЯ рд╡рд╛рдкрд░реВрди:

рдорд╛рдпрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рд╣рд╛ рддреБрдордЪрд╛ рдЫрдВрдж рдЕрд╕рд▓реНрдпрд╛рд╕ рдбреЗрдЯрд╛рд╢реАрдЯ рдХрд╕реЗ рдЖрдгрд┐ рдХрд╛ рд╡рд╛рдЪрд╛рдпрдЪреЗ

рдкрдг рднрд░рдкреВрд░ рдордЬрдХреВрд░ рдЖрд╣реЗ, рдореНрд╣рдгреВрди рдкреНрд░рдХрд▓реНрдк рджреБрд╕рд▒реНрдпрд╛ рднрд╛рдЧрд╛рдд рдкрд╛рдард╡рд▓реЗ рдЬрд╛рддрд╛рдд.

рдбреЗрдЯрд╛рд╢реАрдЯ рд╡рд╛рдЪрдгреНрдпрд╛рдЪреЗ рдХреМрд╢рд▓реНрдп рддреБрдореНрд╣рд╛рд▓рд╛ рддреБрдордЪреНрдпрд╛ рдЫрдВрджрд╛рдд рдорджрдд рдХрд░реЗрд▓, рдкрд░рдВрддреБ рдлреЛрд░рдо рдЖрдгрд┐ рдЪреЕрдЯреНрд╕рд╡рд░ рд╕рд╣рдХрд╛рд░реА рд╢реМрдХрд╛рдВрд╢реА рдереЗрдЯ рд╕рдВрд╡рд╛рдж рдмрджрд▓рдгреНрдпрд╛рдЪреА рд╢рдХреНрдпрддрд╛ рдирд╛рд╣реА. рдпрд╛ рдЙрджреНрджреЗрд╢рд╛рд╕рд╛рдареА, рддреБрдореНрд╣рд╛рд▓рд╛ рдЕрдЬреВрдирд╣реА рддреБрдордЪреЗ рдЗрдВрдЧреНрд░рдЬреА рд╕реБрдзрд╛рд░рдгреЗ рдЖрд╡рд╢реНрдпрдХ рдЖрд╣реЗ. рдореНрд╣рдгреВрди, рдЬреНрдпрд╛рдВрдиреА рд╡рд╛рдЪрди рдкреВрд░реНрдг рдХреЗрд▓реЗ рддреНрдпрд╛рдВрдирд╛ рд╡рд┐рд╢реЗрд╖ рдмрдХреНрд╖реАрд╕ рдорд┐рд│реЗрд▓: рдХреЛрдб рд╡рд╛рдкрд░реВрди рдкреНрд░рдердо рдкреЗрдореЗрдВрдЯрд╕рд╣ рд╕реНрдХрд╛рдпрдВрдЧрдордзреАрд▓ рджреЛрди рд╡рд┐рдирд╛рдореВрд▓реНрдп рдзрдбреЗ HABR2.

рд╕реНрддреНрд░реЛрдд: www.habr.com

рдПрдХ рдЯрд┐рдкреНрдкрдгреА рдЬреЛрдбрд╛