Diskussjoni: Il-proġett OpenROAD għandu l-intenzjoni li jsolvi l-problema tal-awtomazzjoni tad-disinn tal-proċessur

Diskussjoni: Il-proġett OpenROAD għandu l-intenzjoni li jsolvi l-problema tal-awtomazzjoni tad-disinn tal-proċessur
Фото - Pexels — CC BY

Fuq mogħti PWC, is-suq tat-teknoloġija tas-semikondutturi qed jikber - is-sena li għaddiet laħaq $ 481 biljun. Iżda r-rata tat-tkabbir tagħha reċentement naqas. Ir-raġunijiet għat-tnaqqis jinkludu proċessi konfużi tad-disinn tal-apparat u nuqqas ta 'awtomazzjoni.

Ftit snin ilu, inġiniera minn Intel писалиli meta toħloq mikroproċessur ta' prestazzjoni għolja trid tuża 100–150 għodda ta' softwer separata (U MINN). Is-sitwazzjoni tista 'tiġi aggravata fil-każ ta' apparati eteroġeni, li l-arkitettura tagħhom tinkludi diversi tipi differenti ta 'ċipep - ASIC, FPGA, CPU jew GPU. Bħala riżultat, iseħħu żbalji fid-disinn li jdewmu r-rilaxx tal-prodotti.

Minkejja n-numru kbir ta 'għodod awżiljarji, l-inġiniera għadhom sfurzati jagħmlu xi xogħol manwalment. L-awturi tal-ktieb "Sinteżi Loġika Avvanzata“jgħidu li kultant disinjaturi għandhom ikteb skripts f'Ħiliet jew Python ta' żewġ miljun linja biex toħloq libreriji magħhom ċelloli.

L-iskripts jinkitbu wkoll biex jiġu analizzati rapporti ġġenerati mis-sistemi EDA. Meta tiżviluppa ċippa bl-użu ta 'teknoloġija ta' proċess ta '22nm, dawn ir-rapporti jistgħu jieħdu sa 30 terabytes.

DARPA iddeċidiet li tikkoreġi s-sitwazzjoni u tipprova tistandardizza l-proċessi tad-disinn. Fl-aġenzija wkoll tikkunsidrali l-metodi eżistenti għall-ħolqien taċ-ċipep huma skaduti. Organizzazzjoni imnedija programm ta’ ħames snin OpenROAD, li għandha l-għan li tiżviluppa għodod ġodda biex jiġu awtomatizzati l-proċessi tad-disinn taċ-ċippa.

X'tip ta' programm

Il-programm jinvolvi diversi proġetti li jużaw it-tagħlim tal-magni u t-teknoloġiji tal-cloud biex awtomatizzati stadji individwali tal-ħolqien taċ-ċippa. Bħala parti mill-inizjattiva qed jiġu żviluppati (dijagramma 1) aktar minn għaxar strumenti. Sussegwentement nitkellmu f'aktar dettall dwar xi wħud minnhom: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Runner tal-Fluss hija għodda għall-ġestjoni tal-libreriji RTL u GDSII. Dawn tal-aħħar huma fajls ta 'database li huma standard tal-industrija għall-iskambju ta' informazzjoni dwar ċirkwiti integrati u t-topoloġiji tagħhom. Is-soluzzjoni hija bbażata fuq it-teknoloġija tal-kontejners Docker. Tista' tmexxi Flow Runner kemm fis-sħab kif ukoll lokalment. Il-gwida tal-installazzjoni tinsab fir-repożitorju uffiċjali fuq GitHub.

Ibdel hija soluzzjoni sħaba bbażata fuq it-tagħlim tal-magni, li hija responsabbli għat-tqegħid ta 'komponenti fuq ċippa u l-awtomatizzazzjoni tar-rotot. Permezz xi dejta, algoritmi intelliġenti jżidu l-effiċjenza tal-għodda b'2-10% meta mqabbla ma 'sistemi klassiċi. Barra minn hekk, l-implimentazzjoni fil-cloud tagħmel l-iskala aktar faċli. Gwida għall-installazzjoni u l-konfigurazzjoni hija wkoll disponibbli fir-repożitorju.

TritonCTS — utilità għall-ottimizzazzjoni tal-impulsi tal-arloġġ fornuti liċ-ċippa. Jgħin sinjali tal-arloġġ tar-rotta għall-partijiet kollha tal-apparat bl-istess dewmien. Il-prinċipju operattiv huwa bbażat fuq siġar H. Dan l-approċċ itejjeb effiċjenza tad-distribuzzjoni tas-sinjali bi 30% meta mqabbla ma 'metodi tradizzjonali. L-iżviluppaturi jgħidu li fil-futur din iċ-ċifra tista 'tiżdied għal 56%. Kodiċi tas-sors TritonCTS u skripts disponibbli fuq GitHub.

OpenSTA — magna għall-analiżi tal-ħin statiku. Jagħti lid-disinjatur l-opportunità li jiċċekkja l-funzjonalità taċ-ċippa qabel ma tkun attwalment immuntata. Eżempju ta' kodiċi f'OpenSTA выглядит bħal dan.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

L-utilità tappoġġja deskrizzjonijiet netlist tal-kodiċi Verilog, libreriji tal-format Liberty, fajls SDC, eċċ.

Vantaġġi u żvantaġġi

Esperti minn IBM u IEEE tiċċelebrali t-teknoloġiji tal-cloud u t-tagħlim tal-magni ilhom li kellhom bżonn jintużaw fil-produzzjoni taċ-ċippa. Fl-opinjoni tagħhom, il-proġett DARPA jista 'jsir eżempju ta' suċċess tal-implimentazzjoni ta 'din l-idea u se tpoġġi il-bidu tal-bidliet fl-industrija.

Huwa mistenni wkoll li n-natura miftuħa ta 'OpenROAD toħloq komunità b'saħħitha madwar l-għodod u tattira startups ġodda.

Diskussjoni: Il-proġett OpenROAD għandu l-intenzjoni li jsolvi l-problema tal-awtomazzjoni tad-disinn tal-proċessur
Фото - Pexels — CC BY

Diġà hemm parteċipanti - laboratorju li jiżviluppa ċipep ibbażat fl-Università ta 'Michigan, se tkun l-ewwel, li se jittestja l-għodod OpenROAD open source. Iżda għadu mhux magħruf jekk soluzzjonijiet ġodda se jkunu jistgħu jkollhom effett notevoli fuq l-ispiża tal-prodotti finali.

B'mod ġenerali, l-għodod li qed jiġu żviluppati taħt it-tmexxija tad-DARPA huma mistennija li jkollhom impatt pożittiv fuq l-industrija tal-proċessuri, u se jibdew joħorġu aktar proġetti ġodda f'dan il-qasam. Eżempju jkun għodda gEDA — jippermettilek tiddisinja ċipep b'numru illimitat ta 'komponenti. gEDA jinkludi utilitajiet għall-editjar u l-immudellar ta 'mikroċirkwiti u board routing. Is-soluzzjoni ġiet żviluppata għal pjattaformi UNIX, iżda numru ta 'komponenti tagħha jaħdmu wkoll taħt Windows. Tista 'tinstab gwida biex taħdem magħhom fid-dokumentazzjoni fuq il-websajt tal-proġett.

Għodod disponibbli liberament jagħtu lill-organizzazzjonijiet indipendenti u lill-istartups aktar għażliet. Huwa possibbli li maż-żmien, l-approċċi ġodda ta 'OpenROAD għall-iżvilupp tal-għodda EDA u d-disinn taċ-ċippa jistgħu jsiru standard tal-industrija.

Dak li niktbu dwaru fil-blog korporattiv tagħna:

Sors: www.habr.com

Żid kumment