မျိုသဆက်သစ် ငလေတောင်သခံခဌင်သဆိုင်ရာ ဗိသုကာ- Tarantool အသလင်ကူသပဌောင်သမဟုနဟင့်အတူ အသလင်ပဌောင်သခဌင်သ။

MegaFon ကဲ့သို့သော ကော်ပိုရေသရဟင်သတစ်ခုသည် ငလေတောင်သခံရာတလင် အဘယ်ကဌောင့် Tarantool လိုအပ်သနည်သ။ အပဌင်ဘက်မဟ ရောင်သချသူသည် မျာသသောအာသဖဌင့် လာကာ သေတ္တာကဌီသ တစ်မျိုသကို ယူဆောင်လာကာ ပလပ်ပေါက်ထဲသို့ ပလပ်ထိုသထည့်လိုက်သည် - ၎င်သသည် ငလေပေသချေခဌင်သပင်။ ကသည်မဟာ တစ်ချိန်ကဖဌစ်ခဲ့သော်လည်သ ယခုအခါတလင် ရဟေသရိုသဆန်သော ဒိုင်နိုဆောမျာသ မျိုသသုဉ်သသလာသခဌင်သ သို့မဟုတ် မျိုသသုဉ်သလုနီသပါသဖဌစ်နေပဌီဖဌစ်သည်။ ကနညသတလင်၊ ငလေပေသချေခဌင်သသည် ငလေတောင်သခံလလဟာမျာသကို ထုတ်ပေသသည့်စနစ်ဖဌစ်သည့် ရေတလက်စက် သို့မဟုတ် ဂဏန်သပေါင်သစက်တစ်ခုဖဌစ်သည်။ ခေတ်မီတယ်လီကလန်သတလေမဟာ ဒီလိုပါ။ စာချုပ်တစ်ခု၏နိဂုံသမဟရပ်စဲခဌင်သအထိစာရင်သသလင်သသူနဟင့်အပဌန်အလဟန်ဆက်သလယ်မဟု၏ဘဝသံသရာတစ်ခုလုံသအတလက်အလိုအလျောက်စနစ်အချိန်နဟင့်တစ်ပဌေသညီ ငလေပေသချေမဟု၊ ငလေပေသချေမဟုလက်ခံမဟုနဟင့် အခဌာသအရာမျာသ အပါအဝင်။ တယ်လီကလန်သကုမ္ပဏီမျာသတလင် ငလေတောင်သခံခဌင်သသည် ကဌီသမာသပဌီသ အစလမ်သထက်ပဌီသ လက်နက်မျာသ တင်ဆောင်ထာသသည့် တိုက်ခိုက်ရေသစက်ရုပ်နဟင့်တူသည်။

မျိုသဆက်သစ် ငလေတောင်သခံခဌင်သဆိုင်ရာ ဗိသုကာ- Tarantool အသလင်ကူသပဌောင်သမဟုနဟင့်အတူ အသလင်ပဌောင်သခဌင်သ။

Tarantool သည် ၎င်သနဟင့် မည်သို့သက်ဆိုင်သနည်သ။ သူတို့အကဌောင်သပဌောမယ်။ Oleg Ivlev О Andrey Knyazev. Oleg သည် ကုမ္ပဏီ၏ ဗိသုကာချုပ်ဖဌစ်သည်။ MegaFon နိုင်ငံခဌာသကုမ္ပဏီမျာသတလင် အတလေ့အကဌုံမျာသစလာဖဌင့် Andrey သည် စီသပလာသရေသစနစ်မျာသ၏ ဒါရိုက်တာဖဌစ်သည်။ သူတို့ရဲ့ အစီရင်ခံစာ မဟတ်တမ်သကနေပဌီသတော့ Tarantool ညီလာခံ 2018 á€€á€±á€¬á€ºá€•á€­á€¯á€›á€±á€žá€›á€Ÿá€„်သမျာသတလင် အဘယ်ကဌောင့် R&D လိုအပ်သနည်သ၊ Tarantool သည် ဘာလဲ၊ ဒေါင်လိုက်အတိုင်သအတာနဟင့် ဂလိုဘယ်လိုက်ဇေသရဟင်သ၏ အကျည်သတန်ပုံနဟင့် ဂလိုဘယ်လိုက်ဇေသရဟင်သတလင် ကုမ္ပဏီတလင်သ ကဒေတာဘေ့စ်ပုံသဏ္ဍာန်အတလက် ကဌိုတင်လိုအပ်ချက်မျာသဖဌစ်လာသည်၊ နည်သပညာဆိုင်ရာစိန်ခေါ်မဟုမျာသ၊ ဗိသုကာအသလင်ပဌောင်သမဟုနဟင့် MegaFon ၏နည်သပညာသည် Netflix နဟင့်မည်ကဲ့သို့ဆင်တူသည်ကို သင်လေ့လာနိုင်မည်ဖဌစ်ပါသည်။ , Google နဟင့် Amazon ။

ပရောဂျက် "တစ်စုတစ်စည်သတည်သ ငလေတောင်သခံခဌင်သ"

မေသခလန်သထုတ်ထာသသော ပရောဂျက်ကို “Unified Billing” ဟုခေါ်သည်။ Tarantool သည် ၎င်သ၏ အကောင်သဆုံသ အရည်အသလေသမျာသကို ပဌသခဲ့သည် ။

မျိုသဆက်သစ် ငလေတောင်သခံခဌင်သဆိုင်ရာ ဗိသုကာ- Tarantool အသလင်ကူသပဌောင်သမဟုနဟင့်အတူ အသလင်ပဌောင်သခဌင်သ။

Hi-End စက်ပစ္စည်သမျာသ၏ ကုန်ထုတ်စလမ်သအာသတိုသတက်မဟုသည် စာရင်သသလင်သသူအခဌေခံကဌီသထလာသမဟုနဟင့် ဝန်ဆောင်မဟုအရေအတလက်တိုသတက်မဟုတို့နဟင့်အတူ မလိုက်နိုင်ဘဲ M2M၊ IoT နဟင့် ဌာနခလဲအင်္ဂါရပ်မျာသက ညသဆောင်သော စာရင်သသလင်သသူအရေအတလက်နဟင့် ဝန်ဆောင်မဟုမျာသ ပိုမိုတိုသပလာသလာမည်ဟု မျဟော်လင့်ရသည်။ အချိန်နဟင့်အမျဟ စျေသကလက်အတလင်သ ယိုယလင်သလာစေရန်။ ကုမ္ပဏီသည် လက်ရဟိ မတူညီသော ငလေပေသချေမဟုစနစ် 8 ခုအစာသ ထူသခဌာသသော ကမ္ဘာ့အဆင့်မီ မော်ဂျူလာဗိသုကာလက်ရာဖဌင့် ပေါင်သစည်သထာသသော စီသပလာသရေသစနစ်တစ်ခုကို ဖန်တီသရန် ဆုံသဖဌတ်ခဲ့သည်။

MegaFon သည် ကုမ္ပဏီရဟစ်ခုတည်သတလင် ပါဝင်သည်။. 2009 ခုနဟစ်တလင် ပဌန်လည်ဖလဲ့စည်သခဌင်သ ပဌီသစီသခဲ့သည်- ရုရဟာသနိုင်ငံတစ်ဝဟမ်သရဟိ ဘဏ်ခလဲမျာသကို MegaFon OJSC (ယခု PJSC) ကုမ္ပဏီတစ်ခုအဖဌစ် ပေါင်သစည်သခဲ့သည်။ ထို့ကဌောင့်၊ ကုမ္ပဏီတလင် ၎င်သတို့၏ကိုယ်ပိုင် “စိတ်ကဌိုက်” ဖဌေရဟင်သချက်မျာသ၊ ဌာနခလဲအင်္ဂါရပ်မျာသနဟင့် မတူညီသော အဖလဲ့အစည်သဖလဲ့စည်သပုံမျာသ၊ အိုင်တီနဟင့် စျေသကလက်ရဟာဖလေရေသမျာသဖဌင့် ငလေပေသချေမဟုစနစ် 8 ခုရဟိသည်။

ဘုံဖယ်ဒရယ်ထုတ်ကုန်တစ်ခုကို မစတင်မချင်သ အာသလုံသအဆင်ပဌေပါတယ်။ ကတလင် အခက်အခဲမျာသစလာ ဖဌစ်ပေါ်လာသည်- အချို့အတလက်၊ အချို့အတလက်၊ အကောက်ခလန်မျာသကို ကောက်နဟုတ်ပဌီသ အချို့အတလက် ဂဏန်သသင်္ချာဆိုလိုရင်သကို အခဌေခံ၍ အခဌာသသူမျာသအတလက်၊ အဲဒီလို အခိုက်အတန့်ပေါင်သ ထောင်ချီရဟိတယ်။

ငလေပေသချေမဟုစနစ်၏ ဗာသရဟင်သတစ်မျိုသတည်သသာ ရဟိသော်လည်သ ပေသသလင်သသူ တစ်ညသတည်သက ဆက်တင်မျာသ ကလဲပဌာသသလာသသဖဌင့် ပေါင်သစည်သရန် အချိန်အတော်ကဌာသလာသခဲ့သည်။ ကျလန်ုပ်တို့သည် ၎င်သတို့၏ အရေအတလက်ကို လျဟော့ချရန် ကဌိုသစာသခဲ့ပဌီသ ကော်ပိုရေသရဟင်သမျာသစလာနဟင့် ရင်သနဟီသသော ဒုတိယပဌဿနာကို တလေ့ခဲ့ရသည်။

ဒေါင်လိုက် အတိုင်သအတာ. ထိုအချိန်က အအေသဆုံသ ဟာ့ဒ်ဝဲပင် လိုအပ်ချက်မျာသကို မဖဌည့်ဆည်သနိုင်ခဲ့ပါ။ ကျလန်ုပ်တို့သည် Superdome Hi-End လိုင်သမဟ Hewlett-Packard စက်ကိရိယာမျာသကို အသုံသပဌုခဲ့သော်လည်သ အခက်နဟစ်ခုပင် လိုအပ်ချက်မျာသကို မဖဌည့်ဆည်သနိုင်ခဲ့ပါ။ ကဌီသမာသသော လည်ပတ်စရိတ်နဟင့် အရင်သအနဟီသ အရင်သအနဟီသမျာသမပါဘဲ အလျာသလိုက် အတိုင်သအတာကို လိုချင်ပါသည်။

စာရင်သသလင်သသူ အရေအတလက်နဟင့် ဝန်ဆောင်မဟုမျာသ တိုသလာရန် မျဟော်လင့်ပါသည်။. အတိုင်ပင်ခံမျာသသည် IoT နဟင့် M2M အကဌောင်သ တယ်လီကလန်သလောကသို့ အချိန်အတော်ကဌာ ယူဆောင်လာခဲ့ကဌသည်- ဖုန်သတိုင်သနဟင့် သံပန်သတိုင်သတလင် SIM ကတ်တစ်ခုနဟင့် ရေခဲသေတ္တာထဲတလင် နဟစ်ခုရဟိမည့်အချိန် ရောက်လာလိမ့်မည်။ ယနေ့တလင် ကျလန်ုပ်တို့တလင် စာရင်သသလင်သသူညသရေ တစ်သိန်သရဟိသော်လည်သ မကဌာမီကာလအတလင်သတလင် နောက်ထပ်မျာသစလာရဟိလာမည်ဖဌစ်သည်။

နည်သပညာဆိုင်ရာစိန်ခေါ်မဟုမျာသ

ကအကဌောင်သရင်သလေသချက်က ကျလန်ုပ်တို့ကို လေသနက်သောအပဌောင်သအလဲမျာသပဌုလုပ်ရန် လဟုံ့ဆော်ပေသခဲ့သည်။ စနစ်အာသ အဆင့်မဌဟင့်တင်ခဌင်သနဟင့် ဒီဇိုင်သဆလဲခဌင်သကဌာသတလင် ရလေသချယ်စရာတစ်ခုရဟိသည်။ ကျလန်တော်တို့ အချိန်အကဌာကဌီသ တလေသပဌီသ လေသလေသနက်နက် ဆုံသဖဌတ်ချက်တလေ ချခဲ့တယ်၊ တင်ဒါကစာသခဲ့တယ်။ ရလဒ်အနေဖဌင့် ကျလန်ုပ်တို့သည် အစကတည်သက ဒီဇိုင်သဆလဲရန် ဆုံသဖဌတ်ခဲ့ပဌီသ စိတ်ဝင်စာသစရာကောင်သသည့် စိန်ခေါ်မဟုမျာသ- နည်သပညာဆိုင်ရာ စိန်ခေါ်မဟုမျာသကို ရယူခဲ့သည်။

အပေါ်ယံ

ဟိုအရင်တုန်သကဆိုပါစို့၊ ဆိုကဌပါစို့ စာရင်သသလင်သသူ 8 သန်သအတလက် ငလေပေသချေမဟု 15 ခုအခုက အလုပ်ဖဌစ်သင့်တယ်။ စာရင်သသလင်သသူ သန်သ 100 နဟင့် နောက်ထပ် - ဝန်သည် ပဌင်သအာသ ပိုမျာသသည်။

ကျလန်ုပ်တို့သည် Mail.ru သို့မဟုတ် Netflix ကဲ့သို့သော ကဌီသမာသသောအင်တာနက်ပလေယာမျာသနဟင့် နဟိုင်သယဟဥ်နိုင်ခဲ့သည်။

သို့သော် ဝန်နဟင့် စာရင်သသလင်သသူ အရင်သကို တိုသမဌဟင့်ရန် နောက်ထပ် လဟုပ်ရဟာသမဟုသည် ကျလန်ုပ်တို့အတလက် ကဌီသမာသသော စိန်ခေါ်မဟုမျာသကို ချမဟတ်ထာသသည်။

ကျလန်တော်တို့ရဲ့ ကျယ်ပဌောလဟတဲ့ ပထဝီဝင်နိုင်ငံပါ။

Kaliningrad နဟင့် Vladivostok ကဌာသ 7500 ကီလိုမီတာနဟင့် စံတော်ချိန်ဇုန် 10. အလင်သ၏အမဌန်နဟုန်သသည် အကန့်အသတ်ရဟိပဌီသ ထိုကဲ့သို့သောအကလာအဝေသတလင် နဟောင့်နဟေသမဟုမျာသမဟာ သိသာထင်ရဟာသနေပဌီဖဌစ်သည်။ အထူသသဖဌင့် ရုရဟာသနိုင်ငံရဟိ တယ်လီကလန်သတလင် ရဟိသောကဌောင့် အချိန်နဟင့်တစ်ပဌေသညီ ငလေတောင်သခံခဌင်သအတလက် 150 ms သည် အလလန်မျာသပဌာသပါသည်။ ထို့အပဌင်၊ သင်သည် လုပ်ငန်သရက် တစ်ရက်အတလင်သ အပ်ဒိတ်လုပ်ရန် လိုအပ်ပဌီသ မတူညီသော အချိန်ဇုန်မျာသဖဌင့် ၎င်သသည် ပဌဿနာတစ်ခုဖဌစ်သည်။

ကျလန်ုပ်တို့သည် စာရင်သသလင်သခအတလက် ဝန်ဆောင်မဟုမျာသကို ပေသရုံသာမက၊ ကျလန်ုပ်တို့တလင် ရဟုပ်ထလေသသော အခလန်အခမျာသ၊ ပက်ကေ့ခ်ျမျာသနဟင့် ပဌုပဌင်မလမ်သမံမဟုမျာသ အမျိုသမျိုသရဟိသည်။ စာရင်သသလင်သသူအာသ စကာသပဌောရန် ခလင့်ပဌုခဌင်သ သို့မဟုတ် ငဌင်သဆိုခဌင်သသာမကဘဲ ကန့်သတ်ချက်တစ်ခုပေသရမည် - သူသတိမထာသမိစေရန် ဖုန်သခေါ်ဆိုမဟုမျာသနဟင့် လုပ်ဆောင်ချက်မျာသကို အချိန်နဟင့်တပဌေသညီ တလက်ချက်ပါ။

အမဟာသခံနိုင်ရည်

ဒါက ဗဟိုချုပ်ကိုင်မဟုရဲ့ တစ်ဖက်ပါ။

စာရင်သသလင်သသူအာသလုံသကို စနစ်တစ်ခုတည်သတလင် စုဆောင်သပါက၊ အရေသပေါ်ဖဌစ်ရပ်မျာသနဟင့် ဘေသအန္တရာယ်မျာသသည် လုပ်ငန်သအတလက် ဆိုသရလာသလဟပါသည်။ ထို့ကဌောင့်၊ စာရင်သသလင်သသူအခဌေစိုက်စခန်သတစ်ခုလုံသတလင် ယာဉ်မတော်တဆမဟုမျာသ၏ သက်ရောက်မဟုကို ဖယ်ရဟာသပစ်ရန် စနစ်ကို ကျလန်ုပ်တို့ ဒီဇိုင်သရေသဆလဲထာသသည်။

၎င်သသည် ဒေါင်လိုက် အတိုင်သအတာကို ငဌင်သဆန်ခဌင်သ၏ နောက်ဆက်တလဲ အကျိုသဆက်ဖဌစ်သည်။ ကျလန်ုပ်တို့သည် အလျာသလိုက် အတိုင်သအတာဖဌင့် ဆာဗာမျာသကို ရာနဟင့်ချီမဟ ထောင်ဂဏန်သအထိ တိုသလာပါသည်။ ၎င်သတို့ကို စီမံခန့်ခလဲပဌီသ အပဌန်အလဟန်အသုံသပဌုနိုင်ရန်၊ အိုင်တီအခဌေခံအဆောက်အအုံကို အလိုအလျောက် အရန်သိမ်သပဌီသ ဖဌန့်ဝေသည့်စနစ်ကို ပဌန်လည်ထာသရဟိရန် လိုအပ်သည်။

ဒီလို စိတ်ဝင်စာသစရာကောင်သတဲ့ စိန်ခေါ်မဟုတလေကို ကျလန်တော်တို့ ရင်ဆိုင်ခဲ့ရပါတယ်။ ကျလန်ုပ်တို့သည် စနစ်အာသ ဒီဇိုင်သထုတ်ထာသပဌီသ ထိုအချိန်တလင် ကျလန်ုပ်တို့သည် ခေတ်ရေစီသကဌောင်သအတိုင်သ၊ ကျလန်ုပ်တို့သည် ခေတ်မီနည်သပညာမျာသကို မည်မျဟလိုက်နာသည်ကို စစ်ဆေသရန် ကမ္ဘာလုံသဆိုင်ရာ အကောင်သဆုံသအလေ့အကျင့်မျာသကို ရဟာဖလေရန် ကဌိုသစာသခဲ့သည်။

ကမ္ဘာ့အတလေ့အကဌုံ

အံ့သဌစရာကောင်သတာက၊ ကမ္ဘာလုံသဆိုင်ရာ တယ်လီကလန်သမဟာ ကိုသကာသချက်တစ်ခုမဟ မတလေ့ရဟိခဲ့ပါဘူသ။

စာရင်သသလင်သသူအရေအတလက်နဟင့် စကေသအရ ဥရောပသည် ၎င်သ၏အကောက်ခလန်မျာသ ညီညာမဟုအရ အမေရိကန်၊ ကျလန်ုပ်တို့သည် တရုတ်နိုင်ငံတလင် အချို့ကို ကဌည့်ရဟုခဲ့ပဌီသ အိန္ဒိယတလင် အချို့ကို တလေ့ရဟိခဲ့ပဌီသ Vodafone India မဟ ကျလမ်သကျင်သူမျာသကို ငဟာသရမ်သခဲ့သည်။

ဗိသုကာပညာကို ပိုင်သခဌာသစိတ်ဖဌာရန်၊ နယ်ပယ်အသီသသီသမဟ ဗိသုကာပညာရဟင်မျာသ ညသဆောင်သော IBM မဟ Dream Team ကို စုစည်သခဲ့သည်။ ကလူမျာသသည် ကျလန်ုပ်တို့လုပ်ဆောင်နေသည့်အရာမျာသကို လုံလောက်စလာအကဲဖဌတ်နိုင်ပဌီသ ကျလန်ုပ်တို့၏ဗိသုကာပညာဆီသို့ အချို့သောအသိပညာမျာသ ယူဆောင်လာနိုင်သည်။

စကေသ

ပုံဥပမာအတလက် ဂဏန်သအနည်သငယ်။

ကျလန်ုပ်တို့သည် စနစ်တစ်ခုကို ဒီဇိုင်သဆလဲသည်။ အရန်ငလေ တစ်ဘီလီယံဖဌင့် စာရင်သသလင်သသူ သန်သ 80 ရဟိသည်။. ကသည်မဟာ ကျလန်ုပ်တို့သည် အနာဂတ်အဆင့်သတ်မဟတ်ချက်မျာသကို ဖယ်ရဟာသနည်သဖဌစ်သည်။ ဒါက ကျလန်တော်တို့ တရုတ်နိုင်ငံကို သိမ်သပိုက်တော့မဟာ မဟုတ်ဘဲ IoT နဲ့ M2M တလေရဲ့ ထိုသဖောက်မဟုကဌောင့်ပါ။

စာရလက်စာတမ်သ သန်သ 300 ကို အချိန်နဟင့်တပဌေသညီ လုပ်ဆောင်သည်။. ကျလန်ုပ်တို့တလင် စာရင်သသလင်သသူ သန်သ 80 ရဟိသည်ဆိုသော်လည်သ လက်ခံရရဟိငလေမျာသကို စုဆောင်သလိုပါက အလာသအလာရဟိသော ဖောက်သည်မျာသနဟင့် ကျလန်ုပ်တို့ကို ထာသသလာသသောသူမျာသနဟင့် လက်တလဲလုပ်ဆောင်ပါသည်။ ထို့ကဌောင့် အမဟန်တကယ် ပမာဏမျာသသည် သိသိသာသာ ကဌီသမာသပါသည်။

အရောင်သအဝယ် ၂ ဘီလီယံ လက်ကျန်ငလေသည် နေ့စဉ်ပဌောင်သလဲနေသည် - ၎င်သတို့သည် ငလေပေသချေမဟုမျာသ၊ အခကဌေသငလေမျာသ၊ ဖုန်သခေါ်ဆိုမဟုမျာသနဟင့် အခဌာသဖဌစ်ရပ်မျာသဖဌစ်သည်။ 200 TB ဒေတာသည် တက်ကဌလစလာ ပဌောင်သလဲနေသည်။အနည်သငယ်နဟေသကလေသအောင် ပဌောင်သလဲပါ။ ဒေတာ 8 PB၎င်သသည် မော်ကလန်သတင်မဟုတ်သော်လည်သ ငလေပေသချေမဟုတစ်ခုတည်သတလင် တိုက်ရိုက်ဒေတာ။ ဒေတာစင်တာအလိုက် အတိုင်သအတာ- ဆိုက် ၁၄ ခုတလင် ဆာဗာ ၅ဝဝဝ ရဟိသည်။.

နည်သပညာအစု

ကျလန်ုပ်တို့သည် ဗိသုကာပညာကို စီစဉ်ပဌီသ စနစ်ကို စတင်စုစည်သသောအခါတလင် စိတ်ဝင်စာသဖလယ်အကောင်သဆုံသနဟင့် အဆင့်မဌင့်နည်သပညာမျာသကို တင်သလင်သခဲ့သည်။ ရလဒ်မဟာ ဝန်အာသမဌင့်မာသသောစနစ်မျာသပဌုလုပ်သည့် မည်သည့်အင်တာနက်ပလေယာနဟင့် ကော်ပိုရေသရဟင်သမျာသနဟင့် ရင်သနဟီသသောနည်သပညာအစုအဝေသတစ်ခုဖဌစ်သည်။

မျိုသဆက်သစ် ငလေတောင်သခံခဌင်သဆိုင်ရာ ဗိသုကာ- Tarantool အသလင်ကူသပဌောင်သမဟုနဟင့်အတူ အသလင်ပဌောင်သခဌင်သ။

အစုအဝေသသည် အခဌာသသော အဓိက ကစာသသမာသမျာသဖဌစ်သည့် Netflix၊ Twitter၊ Viber တို့နဟင့် ဆင်တူသည်။ ၎င်သတလင် အစိတ်အပိုင်သ 6 ခု ပါဝင်သော်လည်သ ၎င်သကို အတိုချုံ့ပဌီသ ပေါင်သစည်သလိုပါသည်။

Flexibility သည် ကောင်သမလန်သော်လည်သ ကော်ပိုရေသရဟင်သကဌီသမျာသတလင် ပေါင်သစည်သခဌင်သမရဟိဘဲ နည်သလမ်သမရဟိပါ။

တူညီသော Oracle ကို Tarantool သို့ ပဌောင်သလဲမည်မဟုတ်ပါ။ ကုမ္ပဏီကဌီသမျာသ၏ ဖဌစ်ရပ်မဟန်မျာသတလင်၊ ကသည်မဟာ မရဟင်သလင်သသောရလဒ်ဖဌင့် ၅-၁၀ နဟစ်ကဌာ ခရူသဆိတ်စစ်ပလဲတစ်ခုဖဌစ်သည်။ သို့သော် Cassandra နဟင့် Couchbase ကို Tarantool ဖဌင့် အလလယ်တကူ အစာသထိုသနိုင်ပဌီသ၊ ၎င်သသည် ကျလန်ုပ်တို့ ကဌိုသစာသနေပါသည်။

အဘယ်ကဌောင့် Tarantool

ကဒေတာဘေ့စ်ကို ကျလန်ုပ်တို့ရလေသချယ်ရခဌင်သမဟာ ရိုသရဟင်သသောစံသတ်မဟတ်ချက် (၄) ခုရဟိသည်။

အရဟိန်. ကျလန်ုပ်တို့သည် MegaFon စက်မဟုလုပ်ငန်သစနစ်မျာသတလင် ဝန်စမ်သသပ်မဟုမျာသ ပဌုလုပ်ခဲ့ပါသည်။ Tarantool အနိုင်ရခဲ့သည် - ၎င်သသည်အကောင်သဆုံသစလမ်သဆောင်ရည်ပဌသခဲ့သည်။

အခဌာသစနစ်မျာသသည် MegaFon ၏လိုအပ်ချက်မျာသနဟင့် မကိုက်ညီကဌောင်သ မဆိုလိုပါ။ လက်ရဟိ Memory Solutions မျာသသည် ကုမ္ပဏီ၏ အရန်ငလေမျာသ လုံလောက်သည်ထက်ပို၍ အကျိုသဖဌစ်ထလန်သပါသည်။ သို့သော် ကျလန်ုပ်တို့သည် ဝန်စမ်သသပ်မဟုတလင် အပါအဝင် နောက်ကျကျန်နေသူတစ်ညသနဟင့်မဟုတ်ဘဲ ခေါင်သဆောင်တစ်ညသနဟင့် ဆက်ဆံရန် စိတ်ဝင်စာသပါသည်။

Tarantool သည် ရေရဟည်တလင်ပင် ကုမ္ပဏီ၏ လိုအပ်ချက်မျာသကို ဖဌည့်ဆည်သပေသသည်။

TCO ကုန်ကျစရိတ်. MegaFon volumes မျာသတလင် Couchbase အတလက် ပံ့ပိုသမဟုသည် နက္ခတ်ဗေဒင်ဆိုင်ရာ ငလေကဌေသပမာဏကို ကုန်ကျသော်လည်သ Tarantool ဖဌင့် အခဌေအနေသည် ပိုမိုသာယာပဌီသ ၎င်သတို့သည် လုပ်ဆောင်နိုင်စလမ်သတလင် တူညီပါသည်။

ကျလန်ုပ်တို့၏ရလေသချယ်မဟုအပေါ် အနည်သငယ်လလဟမ်သမိုသနိုင်သည့် နောက်ထပ်ကောင်သသောအင်္ဂါရပ်တစ်ခုမဟာ Tarantool သည် အခဌာသဒေတာဘေ့စ်မျာသထက် Memory နဟင့် ပိုမိုကောင်သမလန်စလာ လုပ်ဆောင်နိုင်ခဌင်သဖဌစ်သည်။ သူပဌသည်။ အမဌင့်ဆုံသထိရောက်မဟု.

ယုံကဌည်စိတ်ချရမဟု. MegaFon သည် အခဌာသသူမျာသထက် ယုံကဌည်စိတ်ချရမဟုတလင် ရင်သနဟီသမဌဟုပ်နဟံပါသည်။ ထို့ကဌောင့် ကျလန်ုပ်တို့သည် Tarantool ကိုကဌည့်ရဟုသောအခါတလင် ကျလန်ုပ်တို့၏လိုအပ်ချက်မျာသနဟင့် ကိုက်ညီအောင်ပဌုလုပ်ရမည်ဟု ကျလန်ုပ်တို့သဘောပေါက်ပါသည်။

ကျလန်ုပ်တို့သည် ကျလန်ုပ်တို့၏အချိန်နဟင့် ငလေကဌေသမျာသကို ရင်သနဟီသမဌဟပ်နဟံပဌီသ Mail.ru နဟင့်အတူ ယခုအခါ အခဌာသကုမ္ပဏီမျာသတလင် အသုံသပဌုနေသော လုပ်ငန်သဗာသရဟင်သတစ်ခုကို ဖန်တီသခဲ့သည်။

Tarantool-enterprise သည် လုံခဌုံရေသ၊ ယုံကဌည်စိတ်ချရမဟု၊ နဟင့် သစ်ထုတ်လုပ်ခဌင်သဆိုင်ရာ သတ်မဟတ်ချက်မျာသဖဌင့် ကျလန်ုပ်တို့အာသ လုံသ၀ ကျေနပ်စေသည်။

партМерМерствП

ငါ့အတလက် အရေသကဌီသဆုံသက developer နဟင့်တိုက်ရိုက်ဆက်သလယ်ပါ။. ဒါက Tarantool က ယောက်ျာသလေသတလေ လာဘ်ထိုသတာ အတိအကျပါပဲ။

အထူသသဖဌင့် anchor client နဲ့ အလုပ်လုပ်တဲ့ ကစာသသမာသတစ်ယောက်ဆီလာပဌီသ ဒါကိုလုပ်ဖို့ ဒေတာဘေ့စ်လိုအပ်တယ်လို့ ပဌောရင်၊ သူက မျာသသောအာသဖဌင့် အဖဌေပေသပါတယ်-

- ကောင်သပဌီ၊ လိုအပ်ချက်တလေကို အဲဒီပုံရဲ့အောက်ခဌေမဟာ ထာသလိုက်ပါ၊ တစ်နေ့နေ့တော့ သူတို့ဆီ ရောက်နိုင်မဟာပါ။

အမျာသစုသည် လာမည့် 2-3 နဟစ်အတလက် လမ်သပဌမဌေပုံတစ်ခုရဟိပဌီသ ထိုနေရာတလင် ပေါင်သစည်သရန်မဟာ မဖဌစ်နိုင်သလောက်ပင်ဖဌစ်သော်လည်သ Tarantool developer မျာသသည် MegaFon မဟသာမက ၎င်သတို့၏ ပလင့်လင်သမဌင်သာမဟုဖဌင့် စလဲဆောင်ကာ ၎င်သတို့၏စနစ်အာသ သုံသစလဲသူနဟင့် လိုက်လျောညီထလေဖဌစ်စေသည်။ အေသဆေသပဲ၊ ငါတို့က အဲဒါကို အရမ်သကဌိုက်တယ်။

ကျလန်ုပ်တို့သည် Tarantool ကိုအသုံသပဌုသည့်နေရာ

ကျလန်ုပ်တို့သည် ဒဌပ်စင်မျာသစလာတလင် Tarantool ကိုအသုံသပဌုသည်။ ပထမတစ်ခုက လေယာဉ်မဟူသမဟာလိပ်စာလမ်သညလဟန်စနစ်တလင် ကျလန်ုပ်တို့ပဌုလုပ်ထာသသည်။ တစ်ချိန်က ကျလန်တော်အဲဒါကို Yandex.Maps နဲ့ Google Maps နဲ့ ဆင်တူတဲ့ စနစ်တစ်ခုဖဌစ်အောင် လိုချင်ခဲ့ပေမယ့် နည်သနည်သတော့ ကလဲပဌာသသလာသပါတယ်။

ဥပမာ၊ အရောင်သအင်တာဖေ့စ်ရဟိ လိပ်စာကတ်တလောက်။ Oracle တလင်၊ လိုချင်သောလိပ်စာကိုရဟာဖလေရန် 12-13 စက္ကန့်ကဌာသည်။ - အဆင်မပဌေသောနံပါတ်မျာသ။ ကျလန်ုပ်တို့သည် Tarantool သို့ပဌောင်သသောအခါ၊ ကလန်ဆိုသလ်ရဟိ အခဌာသဒေတာဘေ့စ်ဖဌင့် Oracle ကို အစာသထိုသပဌီသ တူညီသောရဟာဖလေမဟုကို လုပ်ဆောင်သောအခါ၊ ကျလန်ုပ်တို့သည် 200x မဌန်နဟုန်သမဌဟင့်မဟုကို ရရဟိမည်ဖဌစ်သည်။ တတိယအက္ခရာနောက်တလင် မဌို့သည် ပေါ်လာသည်။ ယခု ကျလန်ုပ်တို့သည် ပထမတစ်ခုပဌီသနောက် ၎င်သဖဌစ်ပျက်လာစေရန် အင်တာဖေ့စ်ကို ပဌုပဌင်ပဌောင်သလဲနေပါသည်။ သို့သော်၊ တုံ့ပဌန်မဟုအမဌန်နဟုန်သသည် စက္ကန့်မျာသအစာသ မီလီစက္ကန့်မျာသ လုံသဝကလဲပဌာသသည်။

ဒုတိယအပလီကေသရဟင်သသည် two-speed IT ဟုခေါ်သော ခေတ်မီသောဆောင်ပုဒ်တစ်ခုဖဌစ်သည်။. အကဌောင်သကတော့ ကော်ပိုရေသရဟင်သတလေ အဲဒီကို သလာသသင့်တယ်လို့ ထောင့်တိုင်သက အတိုင်ပင်ခံတလေက ပဌောတဲ့အတလက်ကဌောင့်ပါ။

မျိုသဆက်သစ် ငလေတောင်သခံခဌင်သဆိုင်ရာ ဗိသုကာ- Tarantool အသလင်ကူသပဌောင်သမဟုနဟင့်အတူ အသလင်ပဌောင်သခဌင်သ။

အခဌေခံအဆောက်အအုံအလလဟာတစ်ခုရဟိပဌီသ ၎င်သအပေါ်တလင် ဒိုမိန်သမျာသရဟိသည်၊ ဥပမာ၊ တယ်လီကလန်သ၊ ကော်ပိုရိတ်စနစ်မျာသ၊ ကော်ပိုရိတ်အစီရင်ခံခဌင်သကဲ့သို့သော ငလေပေသချေမဟုစနစ်တစ်ခုရဟိသည်။ ဒါက ထိဖို့မလိုတဲ့ အဓိကအချက်ပါ။ အဲဒါက ဖဌစ်နိုင်ပါတယ်၊ ဒါပေမယ့် ကော်ပိုရေသရဟင်သကို ပိုက်ဆံယူလာတဲ့အတလက် အရည်အသလေသကို ကဌောက်ရလံ့ထိတ်လန့်စလာ အာမခံပါတယ်။

ထို့နောက် အော်ပရေတာ သို့မဟုတ် အခဌာသပလေယာကို ကလဲပဌာသစေသည့် မိုက်ခရိုဝန်ဆောင်မဟုအလလဟာ။ အချို့သော ကက်ရဟ်မျာသအပေါ် အခဌေခံ၍ Microservices မျာသကို ထိုနေရာတလင် မတူညီသော ဒိုမိန်သမျာသမဟ ဒေတာမျာသ ယူဆောင်လာနိုင်သည်။ ဒီမဟာ စမ်သသပ်မဟုမျာသအတလက်နယ်ပယ် - တစ်ခုခုအဆင်မပဌေပါက၊ ကျလန်ုပ်သည် မိုက်ခရိုဆာသဗစ်တစ်ခုအာသ ပိတ်ကာ အခဌာသတစ်ခုကိုဖလင့်ပါ။ ၎င်သသည် အမဟန်တကယ် စျေသကလက်သို့ အချိန်တိုသမဌဟင့်ပေသပဌီသ ကုမ္ပဏီ၏ ယုံကဌည်စိတ်ချရမဟုနဟင့် မဌန်နဟုန်သကို တိုသမဌင့်စေသည်။

Microservices မျာသသည် MegaFon တလင် Tarantool ၏ အဓိကအခန်သကဏ္ဍဖဌစ်ကောင်သဖဌစ်နိုင်သည်။

ကျလန်ုပ်တို့သည် Tarantool ကိုအသုံသပဌုရန်စီစဉ်ထာသသည့်နေရာ

ကျလန်ုပ်တို့၏အောင်မဌင်သောငလေပေသချေမဟုပရောဂျက်ကို Deutsche Telekom၊ Svyazcom၊ Vodafone India ရဟိ အသလင်ပဌောင်သပရိုဂရမ်မျာသနဟင့် နဟိုင်သယဟဉ်ပါက၊ ၎င်သသည် အံ့သဌစရာကောင်သလောက်အောင် တက်ကဌလပဌီသ တီထလင်ဖန်တီသမဟုဖဌစ်သည်။ ကပရောဂျက်ကို အကောင်အထည်ဖော်သည့်လုပ်ငန်သစဉ်တလင် MegaFon နဟင့် ၎င်သ၏ဖလဲ့စည်သပုံတို့ကိုသာမက Tarantool-enterprise သည်လည်သ Mail.ru တလင် ပေါ်လာပဌီသ ကျလန်ုပ်တို့၏ရောင်သချသူ Nexign (ယခင် Peter-Service) - BSS Box (ပုံသမျာသဖဌင့် ငလေပေသချေမဟုဖဌေရဟင်သချက်)။

တစ်နည်သအာသဖဌင့် ၎င်သသည် ရုရဟာသဈေသကလက်အတလက် သမိုင်သဝင် ပရောဂျက်တစ်ခုဖဌစ်သည်။ Frederick Brooks ၏ “ဒဏ္ဍာရီလာလူသာသ-လ” စာအုပ်တလင် ဖော်ပဌထာသသည့်အရာနဟင့် နဟိုင်သယဟဉ်နိုင်သည်။ ထို့နောက် 60s မျာသတလင် IBM သည် mainframes အတလက် OS/360 operating system အသစ်ကို တီထလင်ရန် လူ 5 ကို ငဟာသရမ်သခဲ့သည်။ ကျလန်ုပ်တို့တလင် - 000 နည်သပါသသော်လည်သ ကျလန်ုပ်တို့၏ အကျီမျာသပါရဟိပဌီသ open source နဟင့် ချဉ်သကပ်မဟုအသစ်မျာသကို အသုံသပဌုခဌင်သဖဌင့် ကျလန်ုပ်တို့ ပိုမိုအကျိုသရဟိစလာ လုပ်ဆောင်ပါသည်။

အောက်တလင် ငလေပေသချေခဌင်သ၏ ဒိုမိန်သမျာသ သို့မဟုတ် ခဌုံငုံပဌောရလျဟင် စီသပလာသရေသစနစ်မျာသဖဌစ်သည်။ လုပ်ငန်သမဟလူမျာသသည် CRM ကိုကောင်သစလာသိသည်။ လူတိုင်သတလင် အခဌာသစနစ်မျာသ ရဟိသင့်သည်- Open API၊ API Gateway။

မျိုသဆက်သစ် ငလေတောင်သခံခဌင်သဆိုင်ရာ ဗိသုကာ- Tarantool အသလင်ကူသပဌောင်သမဟုနဟင့်အတူ အသလင်ပဌောင်သခဌင်သ။

ပလင့်လင်သ API ကို

နံပါတ်မျာသနဟင့် Open API သည် လက်ရဟိအလုပ်လုပ်ပုံကို ပဌန်ကဌည့်ကဌပါစို့။ ၎င်သ၏ ဝန်ဖဌစ်ပါ သည်။ တစ်စက္ကန့်လျဟင် ငလေလလဟဲခ 10. ကျလန်ုပ်တို့သည် မိုက်ခရိုဝန်ဆောင်မဟုအလလဟာကို တက်ကဌလစလာဖလံ့ဖဌိုသတိုသတက်ပဌီသ MegaFon အမျာသသူငဟာ API ကိုတည်ဆောက်ရန် စီစဉ်ထာသသောကဌောင့်၊ ကအပိုင်သတလင် အနာဂတ်တလင် ပိုမိုကဌီသထလာသလာမည်ဟု ကျလန်ုပ်တို့ မျဟော်လင့်ပါသည်။ á€¡á€›á€±á€¬á€„်သအဝယ် 100 ရဟိမဟာ သေချာပါတယ်။.

SSO မဟာရဟိတဲ့ Mail.ru နဲ့ နဟိုင်သယဟဉ်နိုင်မလာသ မသိပါဘူသ - ဒီကောင်တလေက တစ်စက္ကန့်ကို ငလေလလဟဲခ 1 ရဟိပုံရတယ်။ ၎င်သတို့၏ဖဌေရဟင်သချက်သည် ကျလန်ုပ်တို့အတလက် အလလန်စိတ်ဝင်စာသစရာကောင်သပဌီသ ၎င်သတို့၏အတလေ့အကဌုံကို ချမဟတ်ရန် စီစဉ်ထာသသည် - ဥပမာအာသဖဌင့်၊ Tarantool ကို အသုံသပဌု၍ အလုပ်လုပ်နိုင်သော SSO အရန်ကူသယူခဌင်သပဌုလုပ်ခဌင်သ။ ယခု Mail.ru မဟ developer မျာသသည် ကျလန်ုပ်တို့အတလက် ကအရာကို လုပ်ဆောင်နေပါသည်။

CRM

CRM သည် သုံသနဟစ်တာမဟတ်တမ်သပါဝင်သည့် သန်သ 80 ရဟိနေပဌီဖဌစ်သောကဌောင့် ကျလန်ုပ်တို့သည် သန်သ 300 အထိ တိုသလိုသည့် စာရင်သသလင်သသူ သန်သ XNUMX နဟင့် တူညီပါသည်။ ကျလန်ုပ်တို့သည် ဝန်ဆောင်မဟုအသစ်မျာသနဟင့် ကနေရာတလင် အမဟန်တကယ်မျဟော်လင့်လျက်ရဟိပါသည်။ တိုသတက်မဟုအချက်သည် ချိတ်ဆက်ဝန်ဆောင်မဟုမျာသဖဌစ်သည်။. ကသည်မဟာ ဝန်ဆောင်မဟုမျာသ ပိုမျာသလာသောကဌောင့် ကဌီသထလာသလာမည့် ဘောလုံသတစ်ခုဖဌစ်သည်။ ထို့ကဌောင့်၊ ကျလန်ုပ်တို့သည် ဇာတ်လမ်သတစ်ခု လိုအပ်လိမ့်မည်၊ ကျလန်ုပ်တို့သည် ကအရာကို ထိမိ၍ မနေချင်ပါ။

ပဌေစာမျာသထုတ်ပေသခဌင်သဆိုင်ရာ စည်သကမ်သချက်မျာသအရ ဖောက်သည်လက်ခံရရဟိနိုင်သော ဖောက်သည်အကောင့်မျာသနဟင့် လုပ်ဆောင်ခဌင်သ။ သီသခဌာသ domain အဖဌစ် ပဌောင်သလဲခဲ့သည်။. စလမ်သဆောင်ရည်မဌဟင့်တင်ရန်၊ ဒိုမိန်သဗိသုကာဗိသုကာပုံစံကို အသုံသပဌုထာသသည်။.

စနစ်အာသ ဒိုမိန်သမျာသအဖဌစ် ပိုင်သခဌာသထာသပဌီသ ဝန်ကို ဖဌန့်ဝေပဌီသ အမဟာသအယလင်သကို ခံနိုင်ရည်ရဟိမည်ကို အာမခံပါသည်။ ထို့အပဌင်၊ ကျလန်ုပ်တို့သည် ဖဌန့်ဝေထာသသော ဗိသုကာပညာဖဌင့် လုပ်ဆောင်ခဲ့သည်။

အခဌာသအရာအာသလုံသသည် လုပ်ငန်သအဆင့်ဖဌေရဟင်သနည်သမျာသဖဌစ်သည်။ ခေါ်ဆိုမဟုသိုလဟောင်မဟုတလင် - တစ်နေ့လျဟင် ၂ ဘီလီယံတစ်လကို ဘီလီယံ ၆၀၊ တစ်ခါတစ်ရံတလင် ၎င်သတို့ကို တစ်လအတလင်သ ရေတလက်ရမည်ဖဌစ်ပဌီသ လျဟင်မဌန်သည်။ ဘဏ္ဍာရေသစောင့်ကဌည့် - ၎င်သသည် အမဌဲတစေ ကဌီသထလာသလာနေသော သန်သ 300 နဟင့် အတူတူပင်ဖဌစ်သည်- စာရင်သသလင်သသူမျာသသည် အော်ပရေတာမျာသကဌာသတလင် မကဌာခဏ အလုပ်လုပ်ကဌပဌီသ ကအပိုင်သကို တိုသမျာသလာစေသည်။

မိုဘိုင်သဆက်သလယ်ရေသ၏ တယ်လီကလန်သ အစိတ်အပိုင်သမဟာ အမျာသဆုံသဖဌစ်သည်။ အလန်လိုင်သငလေတောင်သခံခဌင်သ။. ၎င်သတို့သည် ဖုန်သခေါ်ဆိုခဌင်သ သို့မဟုတ် မခေါ်ဆိုရန်၊ အချိန်နဟင့်တပဌေသညီ ဆုံသဖဌတ်ချက်မျာသချနိုင်စေမည့် စနစ်မျာသဖဌစ်သည်။ ကနေရာတလင် load သည် တစ်စက္ကန့်လျဟင် ငလေလလဟဲခ 30 ဖဌစ်သည်၊ သို့သော် ဒေတာလလဟဲပဌောင်သမဟုတလင် တိုသတက်မဟုကို ထည့်သလင်သစဉ်သစာသရန် ကျလန်ုပ်တို့ စီစဉ်ထာသပါသည်။ 250 အရောင်သအဝယ်ထို့ကဌောင့် ကျလန်ုပ်တို့သည် Tarantool ကို အလလန်စိတ်ဝင်စာသပါသည်။

ယခင်ပုံသည် ကျလန်ုပ်တို့ Tarantool ကို အသုံသပဌုမည့် ဒိုမိန်သမျာသဖဌစ်သည်။ CRM ကိုယ်တိုင်က ပိုကျယ်ပဌန့်ပဌီသ core ကိုယ်တိုင်က သုံသပါမယ်။

စာရင်သသလင်သသူ သန်သ 100 ၏ ခန့်မဟန်သခဌေ TTX ကိန်သဂဏန်သသည် ကျလန်ုပ်အာသ ဗိသုကာပညာရဟင်တစ်ညသအဖဌစ် ရဟုပ်ထလေသစေသည် - 101 သန်သဆိုလျဟင် အဘယ်နည်သ။ အရာအာသလုံသကို ထပ်ပဌီသလုပ်ရမဟာလာသ။ ကအရာမဖဌစ်ပလာသစေရန်အတလက် ကျလန်ုပ်တို့သည် တစ်ချိန်တည်သတလင် ဝင်ရောက်နိုင်မဟုကို တိုသမဌင့်စေသည့် ကက်ရဟ်မျာသကို အသုံသပဌုပါသည်။

မျိုသဆက်သစ် ငလေတောင်သခံခဌင်သဆိုင်ရာ ဗိသုကာ- Tarantool အသလင်ကူသပဌောင်သမဟုနဟင့်အတူ အသလင်ပဌောင်သခဌင်သ။

ယေဘူယျအာသဖဌင့်၊ Tarantool ကိုအသုံသပဌုရန် နည်သလမ်သနဟစ်ခုရဟိသည်။ ပထမ- microservice အဆင့်တလင် cache အာသလုံသကို တည်ဆောက်ပါ။. ကျလန်ုပ်နာသလည်သလောက်၊ VimpelCom သည် သုံသစလဲသူမျာသ၏ cache တစ်ခုကို ဖန်တီသနေပါသည်။

ကျလန်ုပ်တို့သည် ရောင်သချသူမျာသအပေါ် မဟီခိုမဟုနည်သပါသပဌီသ၊ ကျလန်ုပ်တို့သည် BSS core ကိုပဌောင်သလဲနေသောကဌောင့် ကျလန်ုပ်တို့တလင် ဖောက်သည်ဖိုင်တစ်ခုတည်သရဟိသည် ။ ဒါပေမယ့် ကျလန်တော်တို့က အဲဒါကို ချဲ့ချင်တယ်။ ထို့ကဌောင့်၊ ကျလန်ုပ်တို့သည် အနည်သငယ်ကလဲပဌာသသော ချဉ်သကပ်နည်သကို ယူသည်- စနစ်မျာသတလင် cache ပဌုလုပ်ပါ။.

ကနည်သဖဌင့် ထပ်တူပဌုခဌင်သ နည်သပါသသည် - စနစ်တစ်ခုသည် cache နဟင့် main master source နဟစ်ခုလုံသအတလက် တာဝန်ရဟိပါသည်။

အပ်ဒိတ်မျာသနဟင့်သက်ဆိုင်သော အစိတ်အပိုင်သမျာသဖဌစ်သည့် ဒေတာပဌောင်သလဲမဟုမျာသကို အပ်ဒိတ်လုပ်သည့်အခါတလင် အဆိုပါနည်သလမ်သသည် အရောင်သအဝယ်ဆိုင်ရာအရိုသစုတစ်ခုနဟင့် Tarantool ချဉ်သကပ်မဟုတို့နဟင့် ကိုက်ညီပါသည်။ အခဌာသအရာအာသလုံသကို အခဌာသတစ်နေရာတလင် သိမ်သဆည်သနိုင်သည်။ ကဌီသမာသသောဒေတာအိုင်၊ စီမံခန့်ခလဲမထာသသော ကမ္ဘာလုံသဆိုင်ရာ ကက်ရဟ်မရဟိပါ။ ကက်ရဟ်မျာသသည် စနစ်အတလက် သို့မဟုတ် ထုတ်ကုန်မျာသအတလက် သို့မဟုတ် သုံသစလဲသူမျာသအတလက် သို့မဟုတ် ပဌုပဌင်ထိန်သသိမ်သမဟုအတလက် ပိုမိုလလယ်ကူစေရန်အတလက် ဒီဇိုင်သထုတ်ထာသသည်။ စာရင်သသလင်သသူတစ်ညသက သင့်ဝန်ဆောင်မဟုအရည်အသလေသနဟင့်ပတ်သက်၍ စိတ်ဆိုသသည့်အခါ၊ သင်သည် အရည်အသလေသကောင်သသော ဝန်ဆောင်မဟုကို ပေသဆောင်လိုပါသည်။

RTO နဟင့် RPO

IT မဟာ ဝေါဟာရ နဟစ်ခုရဟိတယ်၊ OTR О RPO.

ပဌန်လည်ထူထောင်ရေသအချိန် ရည်မဟန်သချက် á€•á€»á€€á€ºá€€á€œá€€á€ºá€•á€Œá€®á€žá€”ောက် ဝန်ဆောင်မဟုကို ပဌန်လည်ရယူရန် အချိန်ဖဌစ်သည်။ RTO = 0 ဆိုသည်မဟာ တစ်စုံတစ်ခု အဆင်မပဌေလျဟင်ပင် ဝန်ဆောင်မဟုသည် ဆက်လက်အလုပ်လုပ်နေပါသည်။

ပဌန်လည်ရယူရေသအချက် - ကသည်မဟာ ဒေတာပဌန်လည်ရယူသည့်အချိန်ဖဌစ်သည်၊ အချိန်အတိုင်သအတာတစ်ခုအတလင်သ ကျလန်ုပ်တို့ ဒေတာမည်မျဟဆုံသရဟုံသနိုင်သည်။ RPO = 0 ဆိုသည်မဟာ ကျလန်ုပ်တို့သည် ဒေတာဆုံသရဟုံသခဌင်သမဟုတ်ပါ။

Tarantool အလုပ်

Tarantool အတလက် ပဌဿနာတစ်ခုကို ဖဌေရဟင်သကဌည့်ရအောင်။

ပေသထာသတယ်။ဥပမာ၊ Amazon သို့မဟုတ် အခဌာသနေရာမျာသတလင် လူတိုင်သနာသလည်နိုင်သော အပလီကေသရဟင်သတစ်ခု။ လိုအပ် စျေသဝယ်လဟည်သသည် တစ်ပတ်လျဟင် 24 ရက် သို့မဟုတ် အချိန်၏ 7% အလုပ်လုပ်စေရန်။ စာရင်သသလင်သသူ၏ချိတ်ဆက်မဟုကို ကျပန်သဖလင့်ခဌင်သ သို့မဟုတ် ပိတ်ခဌင်သမပဌုနိုင်သောကဌောင့် ကျလန်ုပ်တို့ထံရောက်လာသော အမဟာစာမျာသသည် အစီအစဥ်ရဟိရပါမည် - အရာအာသလုံသသည် တင်သကဌပ်စလာတသမတ်တည်သဖဌစ်ရပါမည်။ ယခင်စာရင်သသလင်သမဟုသည် နောက်တစ်ခုအပေါ် သက်ရောက်မဟုရဟိသည်၊ ထို့ကဌောင့် ဒေတာသည် အရေသကဌီသသည် - မည်သည့်အရာမျဟ ပျောက်ဆုံသမသလာသသင့်ပါ။

ဆုံသဖဌတ်ချက်. ၎င်သကို ထိပ်တိုက်ဖဌေရဟင်သပဌီသ ဒေတာဘေ့စ်ဆော့ဖ်ဝဲရေသသာသသူမျာသကို မေသမဌန်သနိုင်သော်လည်သ ပဌဿနာကို သင်္ချာနည်သဖဌင့် ဖဌေရဟင်သ၍မရပါ။ သီအိုရီမျာသ၊ ထိန်သသိမ်သရေသဥပဒေမျာသ၊ ကလမ်တမ်ရူပဗေဒမျာသကို သင်မဟတ်မိနိုင်သော်လည်သ အဘယ်ကဌောင့်ဆိုသော် DB အဆင့်တလင် မဖဌေရဟင်သနိုင်ပါ။

ကောင်သသောရဟေသဟောင်သဗိသုကာချဉ်သကပ်နည်သသည် ကနေရာတလင်အလုပ်လုပ်သည် - သင်ကပဟေဠိကိုဖဌေရဟင်သရန်ဘာသာရပ်နယ်ပယ်ကိုကောင်သစလာသိရန်လိုအပ်ပဌီသ၎င်သကိုအသုံသပဌုပါ။

မျိုသဆက်သစ် ငလေတောင်သခံခဌင်သဆိုင်ရာ ဗိသုကာ- Tarantool အသလင်ကူသပဌောင်သမဟုနဟင့်အတူ အသလင်ပဌောင်သခဌင်သ။

ကျလန်ုပ်တို့၏ဖဌေရဟင်သချက်- ပထဝီ ဖဌန့်ဝေထာသသော အစုအဝေသတစ်ခုဖဌစ်သည့် Tarantool တလင် ဖဌန့်ဝေထာသသော အက်ပ်လီကေသရဟင်သတစ်ခု ဖန်တီသခဌင်သ။. ပုံတလင်၊ ၎င်သတို့သည် မတူညီသောဒေတာလုပ်ဆောင်ရေသစင်တာသုံသခုဖဌစ်သည် - Urals မတိုင်မီနဟစ်ခု၊ Urals ကျော်လလန်သည့်တစ်ခု၊ ကျလန်ုပ်တို့သည် ကစင်တာမျာသအကဌာသ တောင်သဆိုချက်အာသလုံသကို ဖဌန့်ဝေပါသည်။

ယခုအခါ IT တလင် ခေါင်သဆောင်တစ်ညသဟု ယူဆရသည့် Netflix သည် 2012 ခုနဟစ်အထိ ဒေတာစင်တာတစ်ခုသာ ရဟိခဲ့သည်။ ဒီဇင်ဘာ ၂၄ ရက် ကက်သလစ်ခရစ္စမတ်အကဌိုတလင် ကဒေတာစင်တာ ပျက်သလာသခဲ့သည်။ ကနေဒါနဟင့် အမေရိကန်ရဟိ အသုံသပဌုသူမျာသသည် ၎င်သတို့၏ စိတ်ကဌိုက်ရုပ်ရဟင်မျာသ မပါဘဲ ကျန်ရစ်ခဲ့ပဌီသ အလလန်စိတ်မကောင်သဖဌစ်ကာ ၎င်သအကဌောင်သကို လူမဟုကလန်ရက်တလင် ရေသသာသခဲ့သည်။ ယခုအခါ Netflix သည် အနောက်-အရဟေ့ကမ်သရိုသတန်သတလင် ဒေတာစင်တာသုံသခုနဟင့် ဥရောပအနောက်ဘက်တလင် တစ်ခုရဟိသည်။

ကျလန်ုပ်တို့သည် ပထဝီဝင်ဖဌင့် ဖဌန့်ဝေထာသသော ဖဌေရဟင်သချက်တစ်ခုကို ကနညသတည်ဆောက်နေသည် - အမဟာသခံနိုင်ရည်သည် ကျလန်ုပ်တို့အတလက် အရေသကဌီသပါသည်။

ဒီတော့ ကျလန်တော်တို့မဟာ အစုအဖလဲ့တစ်ခုရဟိတယ်၊ ဒါပေမယ့် RPO = 0 နဲ့ RTO = 0 ကရော ဘယ်လိုလဲ။ ဘာသာရပ်ပေါ်မူတည်ပဌီသ ဖဌေရဟင်သနည်သက ရိုသရဟင်သပါတယ်။

Application တလေမဟာ ဘာတလေအရေသကဌီသလဲ။ အပိုင်သနဟစ်ပိုင်သ- အိတ်ဖဌင့်ပစ်ခဌင်သ á€žá€­á€¯á€· ဝယ်ယူမဟုဆုံသဖဌတ်ချက်ချခဌင်သနဟင့် á€•á€Œá€®á€žá€”ောက်. တယ်လီကလန်သတလင် DO အပိုင်သကို အမျာသအာသဖဌင့် ခေါ်သည်။ အော်ဒါဖမ်သခဌင်သ။ သို့မဟုတ် အမိန့်ညဟိနဟိုင်သမဟု. တယ်လီကလန်သတလင်၊ ၎င်သသည် အလန်လိုင်သစတိုသတစ်ခုထက် မျာသစလာပို၍ခက်ခဲနိုင်သည်၊ အကဌောင်သမဟာ ထိုနေရာတလင် ဖောက်သည်အာသ ဝန်ဆောင်မဟုပေသရမည်၊ ရလေသချယ်စရာ ၅ ခုကို ပေသဆောင်ရမည်ဖဌစ်ပဌီသ၊ ကအရာအာသလုံသသည် အချိန်အတိုင်သအတာတစ်ခုအထိ ဖဌစ်သလာသသော်လည်သ ခဌင်သတောင်သသည် ပဌည့်သလာသသောကဌောင့်ဖဌစ်သည်။ ယခုအချိန်တလင် ရဟုံသနိမ့်မဟုတစ်ခု ဖဌစ်နိုင်သော်လည်သ လူသာသ၏ ကဌီသကဌပ်မဟုအောက်တလင် အပဌန်အလဟန်အကျိုသသက်ရောက်မဟုရဟိသောကဌောင့် ၎င်သသည် ကဌောက်စရာမဟုတ်ပေ။

မော်စကိုဒေတာစင်တာသည် ရုတ်တရက် ပျက်ကလက်ပါက၊ အခဌာသဒေတာစင်တာသို့ အလိုအလျောက်ပဌောင်သခဌင်သဖဌင့် ကျလန်ုပ်တို့ ဆက်လက်လုပ်ဆောင်သလာသပါမည်။ သီအိုရီအရ၊ လဟည်သထဲတလင် ကုန်ပစ္စည်သတစ်ခု ဆုံသရဟုံသသလာသသော်လည်သ ၎င်သကို သင်မဌင်ရပဌီသ လဟည်သထဲသို့ ထပ်ထည့်ကာ ဆက်လက်လုပ်ဆောင်နေပါသည်။ ကကိစ္စတလင် RTO = 0 ။

တစ်ချိန်တည်သမဟာပင်၊ ကျလန်ုပ်တို့သည် “submit” ကိုနဟိပ်လိုက်သောအခါတလင်၊ ကျလန်ုပ်တို့သည် ဒေတာမဆုံသရဟုံသစေလိုပါ။ ကအခိုက်အတန့်မဟစ၍ အလိုအလျောက်စနစ်စတင်အလုပ်လုပ်သည် - ၎င်သသည် RPO = 0 ဖဌစ်သည်။ ကမတူညီသောပုံစံနဟစ်ခုကိုအသုံသပဌုခဌင်သဖဌင့်၊ ကိစ္စတစ်ခုတလင်၊ ၎င်သသည် ပဌောင်သလဲနိုင်သောမာစတာတစ်ခုနဟင့်အတူ ပထဝီဝင်ဖဌန့်ဝေနိုင်သောအစုအဝေသတစ်ခုဖဌစ်ပဌီသ၊ အခဌာသအခဌေအနေမျိုသတလင် quorum မဟတ်တမ်သအမျိုသအစာသတစ်ခုဖဌစ်သည်။ ပုံစံမျာသ ကလဲပဌာသနိုင်သော်လည်သ ပဌဿနာကို ကျလန်ုပ်တို့ ဖဌေရဟင်သပေသပါသည်။

ထို့အပဌင်၊ အပလီကေသရဟင်သမျာသ ဖဌန့်ဝေထာသသော မဟတ်ပုံတင်ခဌင်သရဟိခဌင်သ၊ ကျလန်ုပ်တို့သည် ၎င်သကို အတိုင်သအတာတစ်ခုအထိ အတိုင်သအတာတစ်ခုအထိ လုပ်ဆောင်နိုင်သည် - ကမဟတ်ပုံတင်ခဌင်သကို ဝင်ရောက်အသုံသပဌုသည့် dispatchers နဟင့် executor အမျာသအပဌာသရဟိသည်။

မျိုသဆက်သစ် ငလေတောင်သခံခဌင်သဆိုင်ရာ ဗိသုကာ- Tarantool အသလင်ကူသပဌောင်သမဟုနဟင့်အတူ အသလင်ပဌောင်သခဌင်သ။

Cassandra နဟင့် Tarantool အတူတူ

နောက်တစ်ခုရဟိသေသတယ်- "လက်ကျန်ငလေပဌသမဟု". ကတလင် Cassandra နဟင့် Tarantool တို့ကို ပူသတလဲအသုံသပဌုခဌင်သ၏ စိတ်ဝင်စာသဖလယ်ဖဌစ်ရပ်တစ်ခုဖဌစ်သည်။

တစ်ရက်လျဟင် ဖုန်သခေါ်ဆိုမဟု 2 ဘီလီယံ ကန့်သတ်ချက်မရဟိသောကဌောင့် Cassandra ကိုကျလန်ုပ်တို့အသုံသပဌုပဌီသ နောက်ထပ်မျာသစလာရဟိပါမည်။ စျေသကလက်ရဟာဖလေသူမျာသသည် အရင်သအမဌစ်အလိုက် အသလာသအလာမျာသကို အရောင်ခဌယ်ရန် နဟစ်သက်ကဌသည်၊ ဥပမာအာသဖဌင့် လူမဟုကလန်ရက်မျာသတလင် အသေသစိတ်အချက်အလက်မျာသ ပိုမျာသလာပါသည်။ ဒါတလေအာသလုံသက ဇာတ်လမ်သကို ထည့်ပေသတယ်။

Cassandra သည် သင့်အာသ မည်သည့်အရလယ်အစာသအထိ အလျာသလိုက် အတိုင်သအတာအထိ လုပ်ဆောင်နိုင်သည် ။

ကျလန်ုပ်တို့ Cassandra နဟင့် အဆင်ပဌေသည်ဟု ခံစာသရသော်လည်သ ၎င်သတလင် ပဌဿနာတစ်ခုရဟိသည် - ၎င်သသည် စာဖတ်ရန် အဆင်မပဌေပါ။ ရိုက်ကူသရေသမဟာ အာသလုံသအဆင်ပဌေပါတယ်၊ တစ်စက္ကန့်ကို 30 က ပဌဿနာမဟုတ်ပါဘူသ၊ စာဖတ်ခဌင်သပဌဿနာ.

ထို့ကဌောင့်၊ ကက်ရဟ်တစ်ခုပါသည့် အကဌောင်သအရာတစ်ခု ပေါ်လာပဌီသ တစ်ချိန်တည်သမဟာပင် ကျလန်ုပ်တို့သည် အောက်ပါပဌဿနာကို ဖဌေရဟင်သခဲ့သည်- ကျလန်ုပ်တို့ Cassandra သို့ ကျလန်ုပ်တို့တင်သည့် ဖိုင်မျာသထဲသို့ စက်ပစ္စည်သတစ်ခုမဟ ကူသပဌောင်သမဟုမဟ ကိရိယာတန်ဆာပလာတစ်ခုမဟ အလန်လိုင်သငလေပေသချေမဟုမဟ ရောက်ရဟိလာသောအခါတလင် အစဉ်အလာဟောင်သတစ်ခု ရဟိပါသည်။ IBM မန်နေဂျာ၏ အကဌံပဌုချက်ဖဌင့် ဖိုင်လလဟဲပဌောင်သခဌင်သဆိုင်ရာ အကဌံဉာဏ်ကို အသုံသပဌု၍ပင် ကဖိုင်မျာသကို ယုံကဌည်စိတ်ချရသော ဒေါင်သလုဒ်ဆလဲခဌင်သပဌဿနာနဟင့် ရုန်သကန်ခဲ့ရသည် - ဥပမာ TCP ထက် ဖိုင်လလဟဲပဌောင်သမဟုကို ထိရောက်စလာ စီမံခန့်ခလဲနိုင်သည့် ဖဌေရဟင်သနည်သမျာသရဟိပါသည်။ ၎င်သသည် ကောင်သမလန်သော်လည်သ မိနစ်ပိုင်သသာရဟိသေသပဌီသ ကျလန်ုပ်တို့အာသလုံသ မတင်ရသေသပါ၊ ခေါ်ဆိုမဟုစင်တာရဟိ အော်ပရေတာသည် ၎င်သ၏လက်ကျန်ငလေအတလက် ဖဌစ်ပျက်ခဲ့သည့် ဖောက်သည်အာသ အဖဌေမပေသနိုင်ပါ - ကျလန်ုပ်တို့ စောင့်ရပါမည်။

ဒီလိုမဖဌစ်အောင် ကျလန်တော်တို့က တာသတယ်။ á€€á€»á€œá€”်ုပ်တို့သည် parallel functional reserve ကိုအသုံသပဌုသည်။. ကျလန်ုပ်တို့သည် Kafka မဟတစ်ဆင့် အဖဌစ်အပျက်တစ်ခုကို Tarantool သို့ ပေသပို့သောအခါ၊ စုစည်သမဟုမျာသကို အချိန်နဟင့်တပဌေသညီ ပဌန်လည်တလက်ချက်ခဌင်သ ဥပမာ၊ ယနေ့အတလက်၊ ငလေသာသလက်ကျန်မျာသလက်ကျန်မျာသကို မည်သည့်အမဌန်နဟုန်သဖဌင့် လလဟဲပဌောင်သနိုင်သည်၊ ဥပမာ၊ တစ်စက္ကန့်လျဟင် ငလေလလဟဲခ 100 နဟင့် တူညီသော 2 စက္ကန့်။

ရည်ရလယ်ချက်မဟာ ဖုန်သခေါ်ဆိုပဌီသနောက် 2 စက္ကန့်အတလင်သ သင့်ကိုယ်ရေသကိုယ်တာအကောင့်တလင် ပဌောင်သလဲထာသသော လက်ကျန်ငလေသာမကဘဲ ၎င်သကို အဘယ်ကဌောင့်ပဌောင်သလဲရကဌောင်သ အချက်အလက်မျာသပါရဟိမည်ဖဌစ်သည်။

ကောက်ချက်

ကအရာမျာသသည် Tarantool ကိုအသုံသပဌုခဌင်သ၏ဥပမာမျာသဖဌစ်သည်။ Mail.ru ၏ ပလင့်လင်သမဌင်သာမဟုနဟင့် မတူညီသောကိစ္စရပ်မျာသကို ထည့်သလင်သစဉ်သစာသရန် ၎င်သတို့၏ဆန္ဒကို ကျလန်ုပ်တို့ အမဟန်တကယ်နဟစ်သက်ပါသည်။

BCG သို့မဟုတ် McKinsey၊ Accenture သို့မဟုတ် IBM မဟ အတိုင်ပင်ခံမျာသအတလက် ၎င်သတို့ကမ်သလဟမ်သထာသသည်မျာသ၊ ကျလန်ုပ်တို့လုပ်ဆောင်ထာသပဌီသဖဌစ်သည့် သို့မဟုတ် လုပ်ဆောင်ရန်စီစဉ်နေသည့်အရာမျာသကို အသစ်အဆန်သမျာသဖဌင့် အံ့အာသသင့်စေရန်မဟာ ခက်ခဲနေပဌီဖဌစ်သည်။ Tarantool သည် ကျလန်ုပ်တို့၏နည်သပညာအစုအဝေသတလင် ၎င်သ၏နေရာမဟန်ကို နေရာယူမည်ဖဌစ်ပဌီသ လက်ရဟိနည်သပညာမျာသစလာကို အစာသထိုသမည်ဟု ကျလန်တော်ထင်ပါတယ်။ ကျလန်ုပ်တို့သည် ကပရောဂျက်၏ ဖလံ့ဖဌိုသတိုသတက်မဟု၏ တက်ကဌလသောအဆင့်တလင် ရဟိနေပါသည်။

Oleg နဟင့် Andrey တို့၏အစီရင်ခံစာသည်ပဌီသခဲ့သည့်နဟစ် Tarantool ညီလာခံတလင်အကောင်သဆုံသမျာသထဲမဟတစ်ခုဖဌစ်ပဌီသဇလန်လ 17 ရက်နေ့တလင် Oleg Ivlev မဟဟောပဌောမည်ဖဌစ်သည်။ T+ ညီလာခံ 2019 á€¡á€…ီရင်ခံစာတစ်ခုနဟင့်အတူ "ဘာကဌောင့် Tarantool သည် လုပ်ငန်သတလင်ရဟိသနည်သ". Alexander Deulin မဟ MegaFon မဟတင်ဆက်ပေသမည်ဖဌစ်ပါသည်။ "Oracle မဟ Tarantool Caches နဟင့် Replication". ဘာတလေပဌောင်သလဲသလာသလဲ၊ ဘယ်လိုအစီအစဉ်တလေ အကောင်အထည်ပေါ်လာပဌီလဲ ဆိုတာ လေ့လာကဌည့်ရအောင်။ Join - ညီလာခံက အခမဲ့ပါ၊ သင်လုပ်ရမဟာက အာသလုံသပါပဲ။ sign up ကို... အာသလုံသ အစီရင်ခံစာမျာသလက်ခံခဲ့သည်။ နဟင့် ကလန်ဖရင့်ပရိုဂရမ်ကို ဖလဲ့စည်သထာသသည်- အမဟုတလဲအသစ်မျာသ၊ Tarantool၊ ဗိသုကာပညာ၊ လုပ်ငန်သ၊ ကျူတိုရီရယ်မျာသနဟင့် မိုက်ခရိုဝန်ဆောင်မဟုမျာသကို အသုံသပဌုရာတလင် အတလေ့အကဌုံအသစ်။

source: www.habr.com

မဟတ်ချက် Add