မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

ကသည်မဟာ Exchange ၏လည်ပတ်မဟုကိုသေချာစေမည့် အာသကောင်သပဌီသ မဌင့်မာသသောဝန်စနစ်တစ်ခုဖန်တီသရန် ကျလန်ုပ်တို့၏ဆူသပင်လမ်သကဌောင်သအကဌောင်သရဟည်လျာသသောဇာတ်လမ်သ၏အဆက်ဖဌစ်သည်။ ပထမပိုင်သကတော့ ဒီမဟာပါ habr.com/en/post/444300

ဆန်သကဌယ်သောအမဟာသ

အမျာသအပဌာသစမ်သသပ်မဟုမျာသပဌီသနောက်၊ မလမ်သမံထာသသောကုန်သလယ်ခဌင်သနဟင့်ရဟင်သလင်သရေသစနစ်ကိုစတင်လည်ပတ်ခဲ့ပဌီသ၊ ကျလန်ုပ်တို့သည် စုံထောက်-ဆန်သကဌယ်သောဇာတ်လမ်သကိုရေသသာသနိုင်သည့် bug တစ်ခုနဟင့်ရင်ဆိုင်ခဲ့ရသည်။

ပင်မဆာဗာတလင် စတင်အသုံသပဌုပဌီသနောက် မကဌာမီတလင် ငလေပေသငလေယူမျာသထဲမဟ တစ်ခုကို အမဟာသအယလင်သတစ်ခုဖဌင့် လုပ်ဆောင်ခဲ့သည်။ သို့သော်၊ အရန်ဆာဗာတလင် အရာအာသလုံသအဆင်ပဌေပါသည်။ ပင်မဆာဗာရဟိ ထပ်ကိန်သကို တလက်ချက်ခဌင်သ၏ ရိုသရဟင်သသော သင်္ချာဆိုင်ရာ လုပ်ဆောင်ချက်သည် စစ်မဟန်သော အငဌင်သအခုံမဟ အနုတ်လက္ခဏာရလဒ်ကို ပေသစလမ်သနိုင်ခဲ့သည်။ ကျလန်ုပ်တို့သည် ကျလန်ုပ်တို့၏သုတေသနကို ဆက်လက်လုပ်ဆောင်ပဌီသ SSE2 မဟတ်ပုံတင်ခဌင်သတလင် ရေပေါ်အမဟတ်နံပါတ်မျာသနဟင့် အလုပ်လုပ်သောအခါတလင် လဟည့်ပတ်ခဌင်သအတလက် တာဝန်ရဟိသော တစ်နည်သနည်သဖဌင့် ခဌာသနာသချက်ကို တလေ့ရဟိခဲ့ပါသည်။

အဝိုင်သဘစ်အစုံဖဌင့် ထပ်ကိန်သကို တလက်ချက်ရန် ရိုသရဟင်သသော စမ်သသပ်ကိရိယာတစ်ခု ရေသခဲ့သည်။ ကျလန်ုပ်တို့အသုံသပဌုသော RedHat Linux ဗာသရဟင်သတလင် ကံကဌမ္မာဆိုသဘစ်ကို ထည့်သလင်သသောအခါတလင် သင်္ချာလုပ်ဆောင်ချက်နဟင့် လုပ်ဆောင်ရာတလင် ချလတ်ယလင်သချက်တစ်ခု ရဟိနေကဌောင်သ တလေ့ရဟိရပါသည်။ ခဏအကဌာတလင် ၎င်သတို့ထံမဟ patch တစ်ခုကို လက်ခံရရဟိပဌီသ ၎င်သကို RedHat သို့ တိုင်ကဌာသခဲ့သည်။ error မရဟိတော့ပေမယ့် ဒီနည်သနည်သက ဘယ်ကလာမဟန်သ မသေချာသေသပါဘူသ။ လုပ်ငန်သဆောင်တာမျာသတလင် တာဝန်ရဟိသည်။ fesetround C language မဟ ကျလန်ုပ်တို့သည် ယူဆချက်အမဟာသကို ရဟာဖလေရာတလင် ကျလန်ုပ်တို့၏ကုဒ်ကို ဂရုတစိုက် ခလဲခဌမ်သစိတ်ဖဌာခဲ့သည်- ဖဌစ်နိုင်သည့် အခဌေအနေအာသလုံသကို စစ်ဆေသထာသပါသည်။ rounding ကိုသုံသတဲ့ function အာသလုံသကိုကဌည့်တယ်။ မအောင်မဌင်သော session တစ်ခုကို ပဌန်လည်ထုတ်လုပ်ရန် ကဌိုသစာသခဲ့သည်။ မတူညီသောရလေသချယ်မဟုမျာသဖဌင့် မတူညီသော compilers ကိုအသုံသပဌုခဲ့သည်။ Static နဟင့် dynamic analysis ကို အသုံသပဌုခဲ့သည်။

အမဟာသအယလင်သဖဌစ်ရသည့် အကဌောင်သရင်သကို ရဟာမတလေ့ပါ။

ထို့နောက် ၎င်သတို့သည် ဟာ့ဒ်ဝဲကို စတင်စစ်ဆေသကဌသည်၊ ပရိုဆက်ဆာမျာသ၏ load testing ပဌုလုပ်ခဲ့ကဌသည်။ RAM ကိုစစ်ဆေသ; ကျလန်ုပ်တို့သည် ဆဲလ်တစ်ခုတလင် ဘက်စုံဘစ်အမဟာသတစ်ခု၏ ဖဌစ်နိုင်ခဌေမရဟိသော အခဌေအနေအတလက် စမ်သသပ်မဟုမျာသပင် လုပ်ဆောင်ခဲ့သည်။ မရခဲ့ဘူသ။

အဆုံသတလင်၊ ကျလန်ုပ်တို့သည် စလမ်သအင်မဌင့်မာသသော ရူပဗေဒလောကမဟ သီအိုရီတစ်ခုအပေါ် အခဌေချနေထိုင်ခဲ့သည်- စလမ်သအင်မဌင့်အမဟုန်အမလဟာသအချို့သည် ကျလန်ုပ်တို့၏ဒေတာစင်တာထဲသို့ ပျံတက်သလာသကာ case wall ကိုဖောက်၊ ပရိုဆက်ဆာကိုထိမဟန်ကာ trigger latch ကို ကပ်စေခဲ့သည်။ အဓိပ္ပါယ်မဲ့သော သီအိုရီကို "နျူထရီနို" ဟုခေါ်သည်။ အကယ်၍ သင်သည် particle physics နဟင့် ဝေသနေပါက- နျူထရီနိုမျာသသည် ပဌင်ပကမ္ဘာနဟင့် ဓါတ်မတည့်လုနီသပါသဖဌစ်ပဌီသ ပရိုဆက်ဆာ၏ လည်ပတ်မဟုကို သေချာပေါက် မထိခိုက်စေနိုင်ပါ။

ပျက်ကလက်ရခဌင်သအကဌောင်သရင်သကို ရဟာမတလေ့နိုင်သောကဌောင့်၊ "အနဟောက်အယဟက်ဖဌစ်စေသော" ဆာဗာအာသ လည်ပတ်မဟုမဟ ဖယ်ရဟာသခဲ့သည်။

အချိန်အတန်ကဌာပဌီသနောက်၊ ကျလန်ုပ်တို့သည် ပူနလေသသော အရန်စနစ်အာသ မဌဟင့်တင်ရန် စတင်လုပ်ဆောင်ခဲ့သည်- ကျလန်ုပ်တို့သည် "နလေသထလေသသော အရန်မျာသ" (warm) - အပဌိုင်အဆိုင်ပုံတူမျာသကို မိတ်ဆက်ပေသခဲ့သည်။ ၎င်သတို့သည် မတူညီသော ဒေတာစင်တာမျာသတလင် တည်ရဟိနိုင်သည့် ငလေပေသငလေယူစီသကဌောင်သကို လက်ခံရရဟိခဲ့သော်လည်သ နလေသထလေသမဟုမျာသသည် အခဌာသဆာဗာမျာသနဟင့် တက်ကဌလစလာ တုံ့ပဌန်မဟုမရဟိခဲ့ပါ။

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

ဒါကို ဘာကဌောင့် ပဌီသခဲ့တာလဲ။ အရန်ဆာဗာ ပျက်ကလက်ပါက၊ ပင်မဆာဗာနဟင့် နလေသထလေသစလာ ချိတ်ဆက်ထာသသော အရန်ကူသအသစ် ဖဌစ်လာသည်။ ဆိုလိုသည်မဟာ၊ ပျက်ကလက်ပဌီသနောက်၊ ကုန်သလယ်မဟုကဏ္ဍပဌီသဆုံသသည်အထိစနစ်သည် ပင်မဆာဗာတစ်ခုတလင် ရဟိနေမည်မဟုတ်ပေ။

စနစ်၏ ဗာသရဟင်သအသစ်ကို စမ်သသပ်ပဌီသ လည်ပတ်သောအခါ၊ အဝိုင်သဘစ် အမဟာသ ထပ်မံ ဖဌစ်ပလာသခဲ့သည်။ ထို့အပဌင်၊ နလေသထလေသသောဆာဗာမျာသ အရေအတလက် တိုသလာသည်နဟင့်အမျဟ အမဟာသအယလင်သ မကဌာခဏ ပေါ်လာပါသည်။ တစ်ချိန်တည်သမဟာပင်၊ တိကျသောအထောက်အထာသမရဟိသောကဌောင့်ရောင်သချသူတလင်ပဌသရန်ဘာမျဟမရဟိ။

အခဌေအနေ၏နောက်ထပ်ခလဲခဌမ်သစိတ်ဖဌာမဟုတလင်၊ ပဌဿနာသည် OS နဟင့်ဆက်စပ်နိုင်သည်ဟုသီအိုရီတစ်ခုထလက်ပေါ်လာခဲ့သည်။ ကျလန်ုပ်တို့သည် အဆုံသမဲ့ loop တစ်ခုတလင် function တစ်ခုကိုခေါ်သည့် ရိုသရဟင်သသော ပရိုဂရမ်တစ်ခုကို ရေသသာသခဲ့သည်။ fesetround၊ လက်ရဟိအခဌေအနေကို မဟတ်မိပဌီသ အိပ်စက်ခဌင်သမဟတစ်ဆင့် စစ်ဆေသပဌီသ ၎င်သကို ပဌိုင်ဆိုင်မဟုအမျာသအပဌာသတလင် လုပ်ဆောင်သည်။ အိပ်စက်ခဌင်သအတလက် ကန့်သတ်ဘောင်မျာသနဟင့် စာတလဲအရေအတလက်ကို ရလေသချယ်ပဌီသနောက်၊ ကျလန်ုပ်တို့သည် utility ကိုဖလင့်ပဌီသ 5 မိနစ်ခန့်အကဌာတလင် ဘစ်ချို့ယလင်သမဟုကို တစ်သမတ်တည်သပဌန်ထုတ်ပေသပါသည်။ သို့သော်လည်သ Red Hat ၏ ပံ့ပိုသကူညီမဟုသည် ၎င်သကို ပဌန်လည်ထုတ်လုပ်နိုင်ခဌင်သ မရဟိခဲ့ပေ။ ကျလန်ုပ်တို့၏အခဌာသဆာဗာမျာသကို စမ်သသပ်ခဌင်သသည် အချို့သော ပရိုဆက်ဆာမျာသသာ အမဟာသအယလင်သဖဌစ်နိုင်ချေရဟိကဌောင်သ ပဌသထာသသည်။ တစ်ချိန်တည်သမဟာပင်၊ kernel အသစ်တစ်ခုသို့ပဌောင်သခဌင်သသည်ပဌဿနာကိုဖဌေရဟင်သခဲ့သည်။ နောက်ဆုံသတလင်၊ ကျလန်ုပ်တို့သည် OS ကို ရိုသရိုသရဟင်သရဟင်သ အစာသထိုသပဌီသ bug ၏ အကဌောင်သရင်သအမဟန်ကို မရဟင်သလင်သပါ။

ရုတ်တရက် မနဟစ်တုန်သက Habre” မဟာ ဆောင်သပါသတစ်ပုဒ် ထုတ်ဝေခဲ့ပါတယ်။Intel Skylake ပရိုဆက်ဆာမျာသတလင် ချို့ယလင်သချက်တလေ့ရဟိပုံ“ ၎င်သတလင်ဖော်ပဌထာသသောအခဌေအနေသည်ကျလန်ုပ်တို့၏အခဌေအနေနဟင့်အလလန်ဆင်တူသည်၊ သို့သော်စာရေသသူသည်ထပ်မံစုံစမ်သစစ်ဆေသပဌီသ microcode တလင်မဟာသယလင်သနေသည်ဟူသောသီအိုရီတစ်ခုကိုတင်ပဌခဲ့သည်။ Linux kernels မျာသကို အပ်ဒိတ်လုပ်သောအခါ၊ ထုတ်လုပ်သူမျာသက microcode ကို update လုပ်ပါသည်။

စနစ်၏နောက်ထပ်ဖလံ့ဖဌိုသတိုသတက်မဟု

ကျလန်ုပ်တို့သည် အမဟာသကို ဖယ်ရဟာသလိုက်သော်လည်သ၊ ကဇာတ်လမ်သသည် ကျလန်ုပ်တို့အာသ စနစ်တည်ဆောက်ပုံကို ပဌန်လည်သုံသသပ်ရန် တလန်သအာသပေသခဲ့သည်။ နောက်ဆုံသတလင်၊ ထိုကဲ့သို့သော ချို့ယလင်သမဟုမျာသ ထပ်တလဲလဲဖဌစ်ပလာသခဌင်သမဟ ကျလန်ုပ်တို့ကို အကာအကလယ်မပေသခဲ့ပါ။

အောက်ပါအခဌေခံမူမျာသသည် ကဌိုတင်စာရင်သသလင်သမဟုစနစ်အတလက် နောက်ဆက်တလဲတိုသတက်မဟုမျာသအတလက် အခဌေခံဖဌစ်စေသည်-

  • မင်သ ဘယ်သူ့ကိုမဟ ယုံလို့မရဘူသ။ ဆာဗာမျာသ ကောင်သမလန်စလာ လုပ်ဆောင်နိုင်မည်မဟုတ်ပေ။
  • အမျာသစု ကဌိုတင်မဟာထာသ။
  • သဘောတူညီမဟုရရဟိစေရေသ။ ယုတ္တိရဟိတဲ့အပဌင် လူမျာသစု ကဌိုတင်မဟာထာသသလိုပါပဲ။
  • နဟစ်ကဌိမ် ပျက်ကလက်မဟုမျာသ ဖဌစ်နိုင်သည်။
  • တက်ကဌလမဟု။ ပူပဌင်သသော အသင့်အနေအထာသ အစီအစဉ်အသစ်သည် ယခင်ပုံစံထက် မဆိုသသင့်ပါ။ အရောင်သအ၀ယ်သည် နောက်ဆုံသဆာဗာအထိ အနဟောင့်အယဟက်မရဟိ ဆက်လက်လုပ်ဆောင်သင့်သည်။
  • latency အနည်သငယ်တိုသလာသည်။ မည်သည့်အချိန်ကမဆို ကဌီသမာသသောဘဏ္ဍာရေသဆုံသရဟုံသမဟုမျာသရဟိသည်။
  • latency နည်သနိုင်သမျဟနည်သအောင် ထိန်သသိမ်သရန် ကလန်ရက် အပဌန်အလဟန်ဆက်သလယ်မဟု အနည်သဆုံသ။
  • စက္ကန့်ပိုင်သအတလင်သ မာစတာဆာဗာအသစ်ကို ရလေသချယ်ခဌင်သ။

စျေသကလက်တလင်ရရဟိနိုင်သည့်ဖဌေရဟင်သချက်တစ်ခုမဟကျလန်ုပ်တို့နဟင့်ကိုက်ညီမဟုမရဟိပါ၊ Raft protocol သည်၎င်သ၏ငယ်ရလယ်စဉ်တလင်ရဟိနေဆဲဖဌစ်သောကဌောင့်ကျလန်ုပ်တို့၏ကိုယ်ပိုင်ဖဌေရဟင်သချက်ကိုဖန်တီသခဲ့သည်။

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

ကလန်ရက်ချိတ်ဆက်ခဌင်သ။

ကဌိုတင်မဟာယူမဟုစနစ်အပဌင်၊ ကျလန်ုပ်တို့သည် ကလန်ရက်အပဌန်အလဟန်ဆက်သလယ်မဟုကို ခေတ်မီအောင် လုပ်ဆောင်ခဲ့သည်။ I/O စနစ်ခလဲတလင် တုန်လဟုပ်ခဌင်သနဟင့် latency အပေါ် အဆိုသရလာသဆုံသ သက်ရောက်မဟုရဟိသော လုပ်ငန်သစဉ်မျာသစလာ ပါဝင်ပါသည်။ TCP ချိတ်ဆက်မဟုမျာသကို ကိုင်တလယ်သည့် ရာနဟင့်ချီသော လုပ်ငန်သစဉ်မျာသဖဌင့် ၎င်သတို့ကဌာသတလင် အဆက်မပဌတ်ပဌောင်သရန် တလန်သအာသပေသခံခဲ့ရပဌီသ မိုက်ခရိုစက္ကန့်စကေသတလင် ၎င်သသည် အချိန်ကုန်သော လုပ်ဆောင်ချက်ဖဌစ်သည်။ သို့သော် အဆိုသဆုံသမဟာ လုပ်ငန်သစဉ်တစ်ခုသည် လုပ်ဆောင်ရန်အတလက် packet တစ်ခုကို လက်ခံရရဟိသောအခါ၊ ၎င်သသည် ၎င်သအာသ SystemV တန်သစီတစ်ခုသို့ ပေသပို့ပဌီသနောက် အခဌာသ SystemV တန်သစီမဟ ဖဌစ်ရပ်တစ်ခုကို စောင့်ဆိုင်သနေခဌင်သဖဌစ်သည်။ သို့သော်၊ node အမျာသအပဌာသရဟိသောအခါ၊ လုပ်ငန်သစဉ်တစ်ခုတလင် TCP ပက်ကတ်အသစ်တစ်ခုရောက်ရဟိလာခဌင်သနဟင့် နောက်တစ်ခုရဟိ တန်သစီရဟိဒေတာလက်ခံရရဟိမဟုသည် OS အတလက်ပဌိုင်ဆိုင်သည့်ဖဌစ်ရပ်နဟစ်ခုကိုကိုယ်စာသပဌုသည်။ ကကိစ္စတလင်၊ အလုပ်နဟစ်ခုလုံသအတလက် ရရဟိနိုင်သော ရုပ်ပိုင်သဆိုင်ရာပရိုဆက်ဆာမျာသမရဟိပါက၊ တစ်ခုအာသ စီမံဆောင်ရလက်မည်ဖဌစ်ပဌီသ ဒုတိယအာသ စောင့်ဆိုင်သနေသောတန်သစီတလင် ထာသရဟိမည်ဖဌစ်သည်။ အကျိုသဆက်မျာသကို ခန့်မဟန်သရန် မဖဌစ်နိုင်ပေ။

ထိုသို့သောအခဌေအနေမျာသတလင်၊ တက်ကဌလသောလုပ်ငန်သစဉ်ညသစာသပေသထိန်သချုပ်မဟုကိုအသုံသပဌုနိုင်သော်လည်သ ၎င်သသည် အရင်သအမဌစ်-အလေသပေသစနစ်ခေါ်ဆိုမဟုမျာသကိုအသုံသပဌုရန် လိုအပ်မည်ဖဌစ်သည်။ ရလဒ်အနေဖဌင့်၊ ကျလန်ုပ်တို့သည် ဂန္တဝင် epoll ကို အသုံသပဌု၍ ကဌိုသတစ်ခုသို့ ပဌောင်သလိုက်သည်၊ ၎င်သသည် အမဌန်နဟုန်သကို အလလန်တိုသစေပဌီသ ငလေပေသငလေယူ လုပ်ဆောင်ချိန်ကို လျဟော့ချပေသသည်။ SystemV မဟတဆင့် သီသခဌာသကလန်ရက်ဆက်သလယ်ရေသ လုပ်ငန်သစဉ်မျာသနဟင့် ဆက်သလယ်မဟုမျာသကိုလည်သ ဖယ်ရဟာသခဲ့ပဌီသ၊ စနစ်ခေါ်ဆိုမဟု အရေအတလက်ကို သိသိသာသာ လျဟော့ချပဌီသ လုပ်ငန်သဆောင်ရလက်မဟုမျာသ၏ ညသစာသပေသမျာသကို စတင်ထိန်သချုပ်ခဲ့သည်။ I/O စနစ်ခလဲတစ်ခုတည်သတလင်၊ ဇာတ်လမ်သအပေါ်မူတည်၍ 8-17 မိုက်ခရိုစက္ကန့်ခန့် သိမ်သဆည်သနိုင်သည်။ ကချည်မျဟင်တစ်ခုတည်သအစီအစဥ်ကို ထိုအချိန်မဟစ၍ မပဌောင်သလဲဘဲ အသုံသပဌုခဲ့သည်၊ အနာသသတ်ပါရဟိသော epoll ကဌိုသတစ်ခုသည် ချိတ်ဆက်မဟုအာသလုံသကို ဝန်ဆောင်မဟုပေသရန် လုံလောက်ပါသည်။

ငလေပေသငလေယူ ဆောင်ရလက်ခဌင်သ။

ကျလန်ုပ်တို့၏စနစ်တလင် ကဌီသထလာသလာနေသောဝန်သည် ၎င်သ၏အစိတ်အပိုင်သအာသလုံသနီသပါသကို အဆင့်မဌဟင့်တင်ရန် လိုအပ်သည်။ သို့သော် ကံမကောင်သစလာပဲ၊ မကဌာသေသမီနဟစ်မျာသအတလင်သ ပရိုဆက်ဆာနာရီအမဌန်နဟုန်သမျာသ ကဌီသထလာသလာမဟုတလင် တုံ့ဆိုင်သသလာသခဌင်သသည် လုပ်ငန်သစဉ်မျာသကို ရဟေ့သို့ချဲ့ထလင်ရန် မဖဌစ်နိုင်တော့ပါ။ ထို့ကဌောင့်၊ ကျလန်ုပ်တို့သည် အင်ဂျင်လုပ်ငန်သစဉ်ကို အဆင့်သုံသဆင့်ခလဲရန် ဆုံသဖဌတ်ခဲ့ပဌီသ ၎င်သတို့အနက် အလုပ်အမျာသဆုံသမဟာ အကောင့်မျာသတလင် ရန်ပုံငလေရရဟိနိုင်မဟုကို အကဲဖဌတ်ကာ ၎င်သတို့ကိုယ်တိုင် ဖန်တီသပေသသည့် စလန့်စာသစစ်ဆေသခဌင်သစနစ်ဖဌစ်ပဌီသ ၎င်သတို့တလင် အလုပ်အမျာသဆုံသဖဌစ်သည်။ သို့သော် ငလေသည် မတူညီသောငလေကဌေသမျာသဖဌင့် ရဟိနေနိုင်ပဌီသ တောင်သဆိုမဟုမျာသကို လုပ်ဆောင်ရာတလင် မည်သည့်အခဌေခံကို ပိုင်သခဌာသသင့်သည်ကို တလက်ချက်ရန် လိုအပ်ပါသည်။

ကျိုသကဌောင်သဆီလျော်သော ဖဌေရဟင်သချက်မဟာ ၎င်သကို ငလေကဌေသဖဌင့် ပိုင်သခဌာသရန်ဖဌစ်သည်- ဆာဗာတစ်ခုသည် ဒေါ်လာဖဌင့် ရောင်သဝယ်သည်၊ နောက်တစ်ခုသည် ပေါင်နဟင့် သုံသပုံတစ်ပုံကို ယူရိုဖဌင့် ရောင်သဝယ်သည်။ သို့သော် ထိုသို့သောအစီအစဉ်ဖဌင့် မတူညီသောငလေကဌေသမျာသကို ဝယ်ယူရန်အတလက် ငလေပေသငလေယူနဟစ်ခုကို ပေသပို့ပါက၊ ပိုက်ဆံအိတ်ကို ကလဲကလဲပဌာသပဌာသဖဌစ်စေခဌင်သပဌဿနာ ပေါ်ပေါက်လာမည်ဖဌစ်သည်။ သို့သော် ထပ်တူပဌုခဌင်သသည် ခက်ခဲပဌီသ စျေသကဌီသသည်။ ထို့ကဌောင့်၊ ပိုက်ဆံအိတ်ဖဌင့် သီသခဌာသခလဲ၍ တူရိယာမျာသဖဌင့် သီသခဌာသခလဲထာသခဌင်သသည် မဟန်ကန်ပေလိမ့်မည်။ စကာသမစပ်၊ အနောက်တိုင်သ ငလေလဲလဲလဟယ်မဟုအမျာသစုသည် ကျလန်ုပ်တို့ကဲ့သို့ အန္တရာယ်မျာသကို စစ်ဆေသရန် တာဝန်မရဟိသောကဌောင့် အမျာသစုမဟာ အော့ဖ်လိုင်သဖဌင့် လုပ်ဆောင်သည်။ အလန်လိုင်သအတည်ပဌုခဌင်သကို အကောင်အထည်ဖော်ရန် လိုအပ်ပါသည်။

ဥပမာတစ်ခုနဲ့ ရဟင်သပဌကဌည့်ရအောင်။ ကုန်သည်တစ်ညသသည် $30 ကိုဝယ်လိုသည်၊ တောင်သဆိုချက်သည် ငလေပေသငလေယူအတည်ပဌုခဌင်သသို့သလာသသည်- ကကုန်သည်အာသ ကကုန်သလယ်မဟုမုဒ်တလင် ခလင့်ပဌုထာသခဌင်သရဟိ၊ မရဟိနဟင့် လိုအပ်သောအခလင့်အရေသမျာသ ရဟိမရဟိ စစ်ဆေသပါသည်။ အာသလုံသအဆင်ပဌေပါက တောင်သဆိုချက်သည် အန္တရာယ် စိစစ်ရေသစနစ်သို့ ရောက်သလာသသည်၊ ဆိုလိုသည်မဟာ၊ အရောင်သအ၀ယ်တစ်ခုကို နိဂုံသချုပ်ရန် ရန်ပုံငလေ လုံလောက်မဟုကို စစ်ဆေသရန်။ လိုအပ်သောပမာဏကို လောလောဆယ်ပိတ်ဆို့ထာသကဌောင်သ သတိပဌုပါ။ ထို့နောက် တောင်သဆိုချက်အာသ အရောင်သအဝယ်စနစ်အာသ အတည်ပဌုခဌင်သ သို့မဟုတ် အတည်မပဌုသော ကုန်သလယ်မဟုစနစ်သို့ ထပ်ဆင့်ပေသပို့သည်။ ငလေပေသငလေယူကို အတည်ပဌုလိုက်သည်ဆိုကဌပါစို့ - ထို့နောက် စလန့်စာသအတည်ပဌုစနစ်က ငလေကို ပိတ်ဆို့ပဌီသ ရူဘယ်မျာသကို ဒေါ်လာအဖဌစ်သို့ ပဌောင်သလဲစေပါသည်။

ယေဘုယျအာသဖဌင့်၊ စလန့်စာသစစ်ဆေသခဌင်သစနစ်တလင် ရဟုပ်ထလေသသော အယ်လဂိုရီသမ်မျာသပါ၀င်ပဌီသ အလလန်အရင်သအနဟီသမျာသသော တလက်ချက်မဟုမျာသ အမျာသအပဌာသကို လုပ်ဆောင်ပေသကာ ပထမတစ်ချက်တလင် ထင်နိုင်သကဲ့သို့ "အကောင့်လက်ကျန်" ကို ရိုသရိုသရဟင်သရဟင်သမစစ်ဆေသပါ။

အင်ဂျင် လုပ်ငန်သစဉ်ကို အဆင့်မျာသအဖဌစ် ပိုင်သခဌာသပဌီသ စတင်သောအခါ ပဌဿနာတစ်ခု ကဌုံတလေ့ခဲ့ရသည်- မဟန်ကန်ကဌောင်သနဟင့် အတည်ပဌုခဌင်သအဆင့်မျာသတလင် ထိုအချိန်က ရရဟိနိုင်သော ကုဒ်သည် ကုဒ်အခဌေခံတစ်ခုလုံသကို ပဌန်လည်ရေသသာသရန် လိုအပ်သည့် တူညီသောဒေတာခင်သကျင်သမဟုကို တက်ကဌလစလာ အသုံသပဌုခဲ့သည်။ ရလဒ်အနေဖဌင့်၊ ကျလန်ုပ်တို့သည် ခေတ်မီပရိုဆက်ဆာမျာသထံမဟ ညလဟန်ကဌာသချက်မျာသကို လုပ်ဆောင်ရန်အတလက် နည်သပညာတစ်ခုကို ချေသယူခဲ့သည်- ၎င်သတို့တစ်ခုစီကို အဆင့်ငယ်မျာသအဖဌစ် ပိုင်သခဌာသထာသပဌီသ လုပ်ဆောင်ချက်မျာသစလာကို သံသရာတစ်ခုတလင် အပဌိုင်လုပ်ဆောင်ပါသည်။

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

ကုဒ်အနည်သငယ်ကို လိုက်လျောညီထလေဖဌစ်အောင် ပဌုလုပ်ပဌီသနောက်၊ ကျလန်ုပ်တို့သည် အပဌိုင် ငလေပေသငလေယူ လုပ်ဆောင်ခဌင်သအတလက် ပိုက်လိုင်သကို ဖန်တီသခဲ့ပဌီသ၊ ယင်သတလင် ငလေပေသငလေယူကို ပိုက်လိုင်သ၏ အဆင့် 4 ဆင့်ဖဌင့် ခလဲခဌာသထာသသည်- ကလန်ရက် အပဌန်အလဟန် ဆက်သလယ်မဟု၊ တရာသဝင်မဟု၊ အကောင်အထည်ဖော်မဟုနဟင့် ရလဒ်ကို ထုတ်ပဌန်ခဌင်သ

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

ဥပမာတစ်ခုကိုကဌည့်ရအောင်။ ကျလန်ုပ်တို့တလင် လုပ်ငန်သစဉ်စနစ်နဟစ်ခု၊ အမဟတ်စဉ်နဟင့် အပဌိုင်ရဟိသည်။ ပထမဆုံသ ငလေပေသငလေယူ ရောက်ရဟိလာပဌီသ စနစ်နဟစ်ခုလုံသတလင် အတည်ပဌုရန်အတလက် ပေသပို့သည်။ ဒုတိယငလေပေသငလေယူသည်ချက်ချင်သရောက်ရဟိသည်- အပဌိုင်စနစ်တလင်၎င်သကိုချက်ချင်သလုပ်ဆောင်နိုင်ပဌီသ၊ ဆက်တိုက်စနစ်တလင်၎င်သကိုလက်ရဟိလုပ်ဆောင်နေသည့်ပထမအဆင့်သို့သလာသရန်အတလက်စောင့်ဆိုင်သနေသည့်တန်သစီတစ်ခုတလင်ထည့်သလင်သထာသသည်။ ဆိုလိုသည်မဟာ၊ ပိုက်လိုင်သလုပ်ဆောင်ခဌင်သ၏ အဓိကအာသသာချက်မဟာ ငလေပေသငလေယူတန်သစီခဌင်သကို မဌန်ဆန်စလာလုပ်ဆောင်ခဌင်သပင်ဖဌစ်သည်။

ကသည်မဟာ ASTS+ စနစ်ဖဌင့် ပေါ်ပေါက်လာခဌင်သဖဌစ်သည်။

မဟန်ပါတယ်၊ အရာအာသလုံသဟာ Conveyor တလေနဲ့ သိပ်မချောမလေ့ပါဘူသ။ အိမ်နီသနာသချင်သ အရောင်သအ၀ယ်တစ်ခုတလင် data array မျာသကို သက်ရောက်မဟုရဟိသော ငလေပေသငလေယူတစ်ခုရဟိသည် ဆိုကဌပါစို့၊ ၎င်သသည် လဲလဟယ်မဟုအတလက် ပုံမဟန်အခဌေအနေတစ်ခုဖဌစ်သည်။ အခဌာသသူမျာသကို ထိခိုက်နိုင်သောကဌောင့် ထိုသို့သောငလေပေသငလေယူကို ပိုက်လိုင်သတစ်ခုတလင် လုပ်ဆောင်၍မရပါ။ ကအခဌေအနေကို data hazard ဟုခေါ်သည်၊ ထိုကဲ့သို့သောငလေပေသငလေယူမျာသကိုသီသခဌာသစီလုပ်ဆောင်သည်- တန်သစီရဟိ "အမဌန်" အရောင်သအ၀ယ်မျာသကုန်သလာသသောအခါ၊ ပိုက်လိုင်သရပ်တန့်သလာသသည်၊ စနစ်သည် "နဟေသကလေသသော" ငလေပေသငလေယူကိုလုပ်ဆောင်သည်၊ ထို့နောက်ပိုက်လိုင်သကိုပဌန်လည်စတင်သည်။ ကံကောင်သထောက်မစလာ၊ အလုံသစုံစီသဆင်သမဟုတလင် ထိုကဲ့သို့သော လလဟဲပဌောင်သမဟုအချိုသအစာသသည် အလလန်သေသငယ်သောကဌောင့် ပိုက်လိုင်သသည် အလုံသစုံစလမ်သဆောင်ရည်ကို မထိခိုက်စေရန်အတလက် အလလန်နည်သပါသပါသည်။

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

ထို့နောက် ကျလန်ုပ်တို့သည် ကလပ်မျက်မဟု အပိုင်သသုံသပိုင်သကို ထပ်တူပဌုခဌင်သ၏ ပဌဿနာကို စတင်ဖဌေရဟင်သခဲ့သည်။ ရလဒ်မဟာ ပုံသေအရလယ်အစာသဆဲလ်မျာသပါရဟိသော လက်စလပ်ကဌာသခံကို အခဌေခံသည့် စနစ်တစ်ခုဖဌစ်သည်။ ကစနစ်တလင်၊ အရာအာသလုံသသည် အရဟိန်အဟုန်ဖဌင့် လုပ်ဆောင်နိုင်သည်၊ ဒေတာကို ကူသယူမည်မဟုတ်ပါ။

  • အဝင်ကလန်ရက်ပက်ကတ်အာသလုံသသည် ခလဲဝေချထာသရေသအဆင့်သို့ ဝင်ရောက်သည်။
  • ၎င်သတို့ကို ခင်သကျင်သတစ်ခုတလင် ထာသရဟိကာ အဆင့် 1 အတလက် ရနိုင်သည်ဟု အမဟတ်အသာသပဌုပါ။
  • ဒုတိယ အရောင်သအ၀ယ် ရောက်ရဟိလာပါပဌီ၊ ၎င်သသည် အဆင့် 1 အတလက် ထပ်မံရရဟိနိုင်ပါပဌီ။
  • ပထမလုပ်ဆောင်ခဌင်သအပ်ဒိတ်သည် ရရဟိနိုင်သောငလေပေသငလေယူမျာသကိုမဌင်ရပဌီသ ၎င်သတို့ကိုလုပ်ဆောင်ပဌီသ ဒုတိယလုပ်ဆောင်ခဌင်သချည်၏နောက်အဆင့်သို့ ရလဟေ့သည်။
  • ထို့နောက် ၎င်သသည် ပထမဆုံသ ငလေပေသငလေယူကို လုပ်ဆောင်ပဌီသ သက်ဆိုင်ရာဆဲလ်ကို အလံပဌသည်။ deleted - ၎င်သကို အသုံသပဌုမဟုအသစ်အတလက် ယခုရရဟိနိုင်ပါပဌီ။

တန်သစီတစ်ခုလုံသကို ကနည်သဖဌင့် လုပ်ဆောင်သည်။

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

အဆင့်တစ်ခုစီ၏ လုပ်ဆောင်မဟုသည် ယူနစ် သို့မဟုတ် ဆယ်ဂဏန်သမိုက်ခရိုစက္ကန့် ကဌာသည်။ ကျလန်ုပ်တို့သည် ပုံမဟန် OS ထပ်တူပဌုခဌင်သအစီအစဉ်မျာသကို အသုံသပဌုပါက၊ ထပ်တူပဌုခဌင်သအတလက် အချိန်ပိုဆုံသရဟုံသပါမည်။ အဲဒါကဌောင့် ကျလန်တော်တို့ spinlock ကို စသုံသတယ်။ သို့သော်၊ ၎င်သသည် အချိန်နဟင့်တစ်ပဌေသညီစနစ်တလင် အလလန်ဆိုသရလာသသောပုံစံဖဌစ်ပဌီသ RedHat သည် ၎င်သကိုလုပ်ဆောင်ရန် တင်သကဌပ်စလာအကဌံပဌုထာသခဌင်သမရဟိသောကဌောင့် ကျလန်ုပ်တို့သည် 100 ms အတလက် spinlock ကိုအသုံသပဌုကာ deadlock ဖဌစ်နိုင်ချေကိုဖယ်ရဟာသရန်အတလက် semaphore မုဒ်သို့ပဌောင်သပါ။

ရလဒ်အနေဖဌင့် ကျလန်ုပ်တို့သည် တစ်စက္ကန့်လျဟင် ငလေလလဟဲမဟု ၈ သန်သခန့် စလမ်သဆောင်ရည်ကို ရရဟိခဲ့ပါသည်။ နဟစ်လအကဌာတလင်၊ ဆောင်သပါသ LMAX Disruptor အကဌောင်သ တူညီသော လုပ်ဆောင်နိုင်စလမ်သရဟိသော ဆာသကစ်တစ်ခု၏ ဖော်ပဌချက်ကို ကျလန်ုပ်တို့ တလေ့ခဲ့ရသည်။

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

ယခု အဆင့်တစ်ဆင့်တလင် ကလပ်မျက်မဟု အမျာသအပဌာသ ရဟိနိုင်သည်။ ငလေပေသငလေယူအာသလုံသကို လက်ခံရရဟိသည့်အစီအစဥ်အတိုင်သ တစ်ခုပဌီသတစ်ခု လုပ်ဆောင်ခဲ့သည်။ ရလဒ်အနေဖဌင့် တစ်စက္ကန့်လျဟင် အရောင်သအဝယ် ၁၈ဝဝဝ မဟ ၅၀ဝဝဝ အထိ စလမ်သဆောင်ရည် အမဌင့်ဆုံသဖဌစ်သည်။

လဲလဟယ်အန္တရာယ်စီမံခန့်ခလဲမဟုစနစ်

ပဌီသပဌည့်စုံမဟုတလင် အကန့်အသတ်မရဟိပါ၊ မကဌာမီတလင် ကျလန်ုပ်တို့သည် ခေတ်မီမဟုကို ထပ်မံလုပ်ဆောင်ခဲ့သည်- ASTS+ ၏ မူဘောင်အတလင်သတလင်၊ ကျလန်ုပ်တို့သည် စလန့်စာသစီမံခန့်ခလဲမဟုနဟင့် ဖဌေရဟင်သမဟုစနစ်မျာသကို ကိုယ်ပိုင်အုပ်ချုပ်ခလင့်ရ အစိတ်အပိုင်သမျာသအဖဌစ်သို့ ပဌောင်သရလဟေ့ရန် စတင်ခဲ့သည်။ ကျလန်ုပ်တို့သည် လိုက်လျောညီထလေရဟိသော ခေတ်မီဗိသုကာပညာတစ်ခုနဟင့် အထက်အောက် စလန့်စာသခန်သပုံစံအသစ်ကို တီထလင်ခဲ့ပဌီသ အတန်သကို တတ်နိုင်သမျဟ အသုံသပဌုရန် ကဌိုသစာသခဲ့သည်။ fixed_point အစာသ double.

သို့သော် ပဌဿနာတစ်ခု ချက်ချင်သပေါ်လာသည်- နဟစ်ပေါင်သမျာသစလာ လုပ်ဆောင်ခဲ့သည့် လုပ်ငန်သဆိုင်ရာ ယုတ္တိဗေဒအာသလုံသကို တစ်ပဌိုင်တည်သလုပ်ဆောင်ပဌီသ စနစ်သစ်သို့ လလဟဲပဌောင်သနည်သ။ ရလဒ်အနေဖဌင့် စနစ်သစ်၏ ရဟေ့ပဌေသပုံစံ၏ ပထမဗာသရဟင်သကို စလန့်လလဟတ်ခဲ့ရသည်။ ထုတ်လုပ်ရေသတလင် လက်ရဟိလုပ်ဆောင်နေသည့် ဒုတိယဗာသရဟင်သသည် ကုန်သလယ်မဟုနဟင့် စလန့်စာသရမဟုအပိုင်သနဟစ်ခုလုံသတလင် အလုပ်လုပ်သည့် တူညီသောကုဒ်ကို အခဌေခံထာသသည်။ ဖလံ့ဖဌိုသတိုသတက်မဟုကာလအတလင်သ၊ လုပ်ဆောင်ရန်အခက်ခဲဆုံသမဟာ ဗာသရဟင်သနဟစ်ခုကဌာသ git ပေါင်သစပ်မဟုဖဌစ်သည်။ ကျလန်ုပ်တို့၏လုပ်ဖော်ကိုင်ဖက် Evgeniy Mazurenok သည် ကခလဲစိတ်မဟုကို အပတ်တိုင်သလုပ်ဆောင်ပဌီသ အချိန်အကဌာကဌီသ ကျိန်ဆဲလိုက်တိုင်သ၊

စနစ်အသစ်ကိုရလေသချယ်သောအခါ၊ ကျလန်ုပ်တို့သည် အပဌန်အလဟန်ဆက်သလယ်မဟုပဌဿနာကို ချက်ချင်သဖဌေရဟင်သခဲ့ရသည်။ ဒေတာဘတ်စ်ကို ရလေသချယ်သည့်အခါ တည်ငဌိမ်တုန်လဟုပ်မဟုနဟင့် latency အနည်သဆုံသဖဌစ်ကဌောင်သ သေချာစေရန် လိုအပ်ပါသည်။ InfiniBand RDMA ကလန်ရက်သည် ၎င်သအတလက် အသင့်တော်ဆုံသဖဌစ်သည်- ပျမ်သမျဟလုပ်ဆောင်ချိန်သည် 4 G Ethernet ကလန်ရက်မျာသထက် 10 ဆ လျော့နည်သသည်။ သို့သော် ကျလန်ုပ်တို့ကို တကယ်စလဲလမ်သစေသောအရာမဟာ ရာခိုင်နဟုန်သ ၉၉ နဟင့် ၉၉.၉ ကလာခဌာသချက်ဖဌစ်သည်။

ဟုတ်ပါတယ်, InfiniBand တလင်၎င်သ၏စိန်ခေါ်မဟုမျာသရဟိသည်။ ပထမညသစလာ၊ မတူညီသော API - sockets မျာသအစာသ ibverbs။ ဒုတိယအနေဖဌင့်၊ ကျယ်ပဌန့်စလာရရဟိနိုင်သော open source စာတိုပေသပို့ခဌင်သဖဌေရဟင်သချက်နီသပါသမရဟိပါ။ ကျလန်ုပ်တို့သည် ကျလန်ုပ်တို့၏ကိုယ်ပိုင် ရဟေ့ပဌေသပုံစံကို ဖန်တီသရန် ကဌိုသစာသခဲ့သော်လည်သ အလလန်ခက်ခဲလာသောကဌောင့် စီသပလာသဖဌစ်ဖဌေရဟင်သချက် - Confinity Low Latency Messaging (ယခင် IBM MQ LLM) ကို ရလေသချယ်ခဲ့သည်။

ထို့နောက် စလန့်စာသခန်သမျာသကို စနစ်တကျ ခလဲဝေရန် တာဝန် ပေါ်လာသည်။ အကယ်၍ သင်သည် Risk Engine ကိုရိုသရဟင်သစလာဖယ်ရဟာသပဌီသ အလယ်အလတ် node တစ်ခုမဖန်တီသပါက၊ အရင်သအမဌစ်နဟစ်ခုမဟ ငလေပေသငလေယူမျာသကို ရောနဟောနိုင်သည်။

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

Ultra Low Latency ဖဌေရဟင်သချက်မျာသတလင် ပဌန်လည်စီစစ်ခဌင်သမုဒ်ပါရဟိသည်- ရင်သမဌစ်နဟစ်ခုမဟ ငလေပေသငလေယူမျာသကို လက်ခံရရဟိပဌီသနောက် လိုအပ်သောအမဟာစာဖဌင့် စီစဉ်နိုင်သည်၊ ၎င်သကို အမဟာစာနဟင့်ပတ်သက်သည့် အချက်အလက်ဖလဟယ်ရန်အတလက် သီသခဌာသချန်နယ်ကို အသုံသပဌု၍ လုပ်ဆောင်သည်။ သို့သော် ကျလန်ုပ်တို့သည် ကမုဒ်ကို အသုံသမပဌုသေသပါ- ၎င်သသည် လုပ်ငန်သစဉ်တစ်ခုလုံသကို ရဟုပ်ထလေသစေပဌီသ ဖဌေရဟင်သချက်အမျာသအပဌာသတလင် ၎င်သကို လုံသဝမပံ့ပိုသပါ။ ထို့အပဌင်၊ ငလေပေသငလေယူတစ်ခုစီတိုင်သသည် သက်ဆိုင်ရာအချိန်တံဆိပ်တုံသမျာသကို သတ်မဟတ်ပေသရမည်ဖဌစ်ပဌီသ ကျလန်ုပ်တို့၏အစီအစဉ်တလင် ကယန္တရာသသည် မဟန်ကန်စလာအကောင်အထည်ဖော်ရန် အလလန်ခက်ခဲပါသည်။ ထို့ကဌောင့်၊ ကျလန်ုပ်တို့သည် Risk Engine အကဌာသ မက်ဆေ့ချ်မျာသကို ဖဌန့်ဝေပေသသည့် dispatcher နဟင့် ဆိုလိုသည်မဟာ မက်ဆေ့ချ်ပလဲစာသနဟင့် ဂန္တဝင်အစီအစဥ်ကို အသုံသပဌုပါသည်။

ဒုတိယပဌဿနာမဟာ သုံသစလဲသူဝင်ရောက်ခလင့်နဟင့် သက်ဆိုင်သည်- Risk Gateway အမျာသအပဌာသရဟိနေပါက၊ client သည် ၎င်သတို့တစ်ခုစီသို့ ချိတ်ဆက်ရန် လိုအပ်ပဌီသ ၎င်သသည် ကလိုင်သယင့်အလလဟာအတလက် အပဌောင်သအလဲမျာသ လိုအပ်မည်ဖဌစ်သည်။ ကအဆင့်တလင် ကျလန်ုပ်တို့သည် ၎င်သနဟင့်ဝေသကလာလိုသောကဌောင့် လက်ရဟိ Risk Gateway ဒီဇိုင်သသည် ဒေတာစီသကဌောင်သတစ်ခုလုံသကို လုပ်ဆောင်ပါသည်။ ၎င်သသည် အမဌင့်ဆုံသ သလင်သအာသကို ကန့်သတ်ထာသသော်လည်သ စနစ်ပေါင်သစည်သမဟုကို အလလန်ရိုသရဟင်သစေသည်။

မူရငျသခဟဲ

ကျလန်ုပ်တို့၏စနစ်တလင် ချို့ယလင်သချက်တစ်ချက်မရဟိသင့်ပါ၊ ဆိုလိုသည်မဟာ မက်ဆေ့ချ်ပလဲစာသအပါအဝင် အစိတ်အပိုင်သအာသလုံသကို ပလာသရပါမည်။ CLLM စနစ်ဖဌင့် ကပဌဿနာကို ကျလန်ုပ်တို့ဖဌေရဟင်သခဲ့သည်- ၎င်သတလင် dispatchers နဟစ်ညသသည် master-slave မုဒ်တလင် အလုပ်လုပ်နိုင်ပဌီသ တစ်ခုပျက်သလာသသောအခါ၊ စနစ်သည် အခဌာသတစ်ခုသို့ အလိုအလျောက်ပဌောင်သသလာသသည့် RCMS အစုအဝေသတစ်ခုပါရဟိသည်။

အရန်ဒေတာစင်တာတစ်ခုနဟင့် အလုပ်လုပ်ခဌင်သ။

InfiniBand ကို ဒေသတလင်သ ကလန်ရက်တစ်ခုအနေဖဌင့် လုပ်ဆောင်ရန် အကောင်သဆုံသဖဌစ်ပဌီသ၊ ဆိုလိုသည်မဟာ rack-mount ပစ္စည်သမျာသကို ချိတ်ဆက်ရန်အတလက်၊ InfiniBand ကလန်ရက်ကို ပထဝီဝင်အရ ဖဌန့်ဝေထာသသော ဒေတာစင်တာနဟစ်ခုကဌာသတလင် ချထာသ၍မရပါ။ ထို့ကဌောင့်၊ ကျလန်ုပ်တို့သည် ပုံမဟန် Ethernet ကလန်ရက်မျာသမဟတစ်ဆင့် မက်ဆေ့ချ်သိုလဟောင်မဟုသို့ ချိတ်ဆက်ပဌီသ ဒုတိယ IB ကလန်ရက်သို့ လလဟဲပဌောင်သပေသသည့် လလဟဲပဌောင်သမဟုအာသလုံသကို ချိတ်ဆက်ပေသသည့် တံတာသ/ dispatcher တစ်ခုကို အကောင်အထည်ဖော်ခဲ့သည်။ ကျလန်ုပ်တို့သည် ဒေတာစင်တာတစ်ခုမဟ ပဌောင်သရလဟေ့ရန် လိုအပ်သည့်အခါ၊ ယခုနဟင့် လုပ်ဆောင်ရမည့်ဒေတာစင်တာကို ကျလန်ုပ်တို့ ရလေသချယ်နိုင်ပါသည်။

ရလဒ်မျာသကို

အထက်ဖော်ပဌပါမျာသအာသလုံသကို တပဌိုင်နက်တည်သ လုပ်ဆောင်ခဲ့ခဌင်သမဟုတ်ဘဲ ဗိသုကာပညာအသစ်ကို တီထလင်ဖန်တီသရန် အကဌိမ်ကဌိမ် အချိန်ယူခဲ့ရသည်။ ကျလန်ုပ်တို့သည် ရဟေ့ပဌေသပုံစံကို တစ်လအတလင်သ ဖန်တီသခဲ့သော်လည်သ ၎င်သကို လုပ်ငန်သအခဌေအနေအဖဌစ်သို့ ရောက်ရန် နဟစ်နဟစ်ကျော် အချိန်ယူခဲ့ရသည်။ ငလေပေသငလေယူလုပ်ဆောင်ချိန် တိုသမဌဟင့်ခဌင်သနဟင့် စနစ်ယုံကဌည်စိတ်ချရမဟု တိုသမဌဟင့်ခဌင်သကဌာသတလင် အကောင်သဆုံသ အပေသအယူလုပ်ရန် ကျလန်ုပ်တို့ ကဌိုသစာသခဲ့သည်။

စနစ်အာသ အပဌင်သအထန် အပ်ဒိတ်လုပ်ထာသသောကဌောင့်၊ သီသခဌာသအရင်သအမဌစ်နဟစ်ခုမဟ ဒေတာပဌန်လည်ရယူခဌင်သကို လုပ်ဆောင်ခဲ့ပါသည်။ မက်ဆေ့ချ်စတိုသသည် အကဌောင်သတစ်ခုခုကဌောင့် မဟန်ကန်စလာလုပ်ဆောင်ခဌင်သမရဟိပါက၊ သင်သည် ဒုတိယရင်သမဌစ်တစ်ခုမဟ ငလေပေသငလေယူမဟတ်တမ်သကို Risk Engine မဟ ရယူနိုင်သည်။ ကနိယာမသည် စနစ်တစ်ခုလုံသကို လိုက်နာသည်။

အခဌာသအရာမျာသထဲတလင်၊ ကျလန်ုပ်တို့သည် ဗိသုကာအသစ်အတလက် ပလဲစာသမျာသနဟင့် အခဌာသမည်သူ့ကိုမျဟ သိသာထင်ရဟာသသော ပဌန်လည်လုပ်ဆောင်မဟုမလိုအပ်စေရန်အတလက် သုံသစလဲသူ API ကို ထိန်သသိမ်သထာသနိုင်ခဲ့သည်။ ကျလန်ုပ်တို့သည် အင်တာဖေ့စ်အချို့ကို ပဌောင်သလဲခဲ့ရသော်လည်သ လည်ပတ်မဟုပုံစံအတလက် သိသာထင်ရဟာသသောပဌောင်သလဲမဟုမျာသ ပဌုလုပ်ရန်မလိုအပ်ပါ။

ကျလန်ုပ်တို့သည် ကျလန်ုပ်တို့၏ ပလက်ဖောင်သ၏ လက်ရဟိဗာသရဟင်သကို Rebus ဟုခေါ်သည် - ဗိသုကာပညာတလင် အထင်ရဟာသဆုံသသော ဆန်သသစ်တီထလင်မဟုနဟစ်ခုဖဌစ်သည့် Risk Engine နဟင့် BUS တို့အတလက် အတိုကောက်အဖဌစ် ခေါ်ဝေါ်ပါသည်။

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

အစပိုင်သတလင် ကျလန်ုပ်တို့သည် ရဟင်သလင်သရေသအပိုင်သကိုသာ ခလဲဝေပေသလိုသော်လည်သ ရလဒ်မဟာ ကဌီသမာသသောဖဌန့်ဝေမဟုစနစ်ဖဌစ်သည်။ ယခုအခါ ဖောက်သည်မျာသသည် ကုန်သလယ်မဟုဂိတ်ဝေသ၊ ရဟင်သလင်သရေသဂိတ်ဝေသ သို့မဟုတ် နဟစ်ခုလုံသနဟင့် အပဌန်အလဟန် တုံ့ပဌန်နိုင်ပါပဌီ။

ကျလန်ုပ်တို့ နောက်ဆုံသအောင်မဌင်ခဲ့သည်

မော်စကိုအိတ်ချိန်သ၏ ကုန်သလယ်မဟုနဟင့် ရဟင်သလင်သရေသစနစ်၏ ဆင့်ကဲဖဌစ်စဉ်။ အပိုင်သ 2

latency အဆင့်ကို လျဟော့ချထာသသည်။ ငလေပေသငလေယူပမာဏ အနည်သငယ်ဖဌင့်၊ စနစ်သည် ယခင်ဗာသရဟင်သနဟင့် တူညီသော်လည်သ တစ်ချိန်တည်သတလင် ပိုမိုမဌင့်မာသသောဝန်ကို ခံနိုင်ရည်ရဟိသည်။

တစ်စက္ကန့်လျဟင် 50 မဟ 180 အထိ အရောင်သအ၀ယ် အမဌင့်ဆုံသဖဌစ်သည်။ နောက်ထပ် တိုသလာမဟုသည် တစ်ခုတည်သသော အမဟာစာနဟင့်ကိုက်ညီသော စီသကဌောင်သကဌောင့် အတာသအဆီသဖဌစ်သည်။

ထပ်ဆင့်တိုသတက်မဟုအတလက် နည်သလမ်သနဟစ်သလယ်ရဟိသည်- တူညီသောအပဌိုင်ပဌုလုပ်ခဌင်သနဟင့် Gateway နဟင့် ၎င်သအလုပ်လုပ်ပုံတို့ကို ပဌောင်သလဲခဌင်သ။ ယခုအခါတလင် Gateways မျာသအာသလုံသသည် ပုံမဟန်အတိုင်သလုပ်ဆောင်မဟု ရပ်တန့်သလာသသော ကူသယူမဟုအစီအစဉ်အရ လုပ်ဆောင်နေပါသည်။

နောက်ဆုံသအနေနဲ့၊ လုပ်ငန်သစနစ်တလေကို အပဌီသသတ်လုပ်ဆောင်နေသူတလေကို အကဌံဉာဏ်တချို့ ပေသနိုင်ပါတယ်။

  • အဆိုသဆုံသအတလက် အချိန်တိုင်သ ပဌင်ဆင်ထာသပါ။ မထင်မဟတ်ပဲ ပဌသနာတလေ အမဌဲတမ်သ ပေါ်လာတတ်တယ်။
  • ဗိသုကာပညာကို မဌန်မဌန်ဆန်ဆန် ပဌန်လည်ဖန်တီသဖို့ဆိုတာ မျာသသောအာသဖဌင့် မဖဌစ်နိုင်ပါဘူသ။ အထူသသဖဌင့် ညလဟန်ကိန်သမျာသစလာတလင် အမဌင့်ဆုံသယုံကဌည်စိတ်ချရမဟုရရဟိရန် လိုအပ်ပါက၊ node မျာသလေ၊ ပံ့ပိုသမဟုအတလက် အရင်သအမဌစ်မျာသ ပိုမျာသလေဖဌစ်သည်။
  • စိတ်ကဌိုက်နဟင့် မူပိုင်ဖဌေရဟင်သချက်အာသလုံသသည် သုတေသန၊ ပံ့ပိုသမဟုနဟင့် ထိန်သသိမ်သမဟုအတလက် ထပ်လောင်သအရင်သအမဌစ်မျာသ လိုအပ်မည်ဖဌစ်သည်။
  • မအောင်မဌင်ပဌီသနောက် စနစ်ယုံကဌည်စိတ်ချရမဟုနဟင့် ပဌန်လည်ရယူခဌင်သဆိုင်ရာ ပဌဿနာမျာသကို ဖဌေရဟင်သခဌင်သကို မပယ်လိုက်ပါနဟင့်၊ ၎င်သတို့ကို ကနညသဒီဇိုင်သအဆင့်တလင် ထည့်သလင်သစဉ်သစာသပါ။

source: www.habr.com

မဟတ်ချက် Add