ဒေတာစင်တာမျာသထဲသို့ FPGA ထိုသဖောက်ဝင်ရောက်မဟု၏မလလဟဲမရဟောင်သာ

ဒေတာစင်တာမျာသထဲသို့ FPGA ထိုသဖောက်ဝင်ရောက်မဟု၏မလလဟဲမရဟောင်သာ
သင်သည် FPGAs အတလက် ပရိုဂရမ်အတလက် ချစ်ပ်ဒီဇိုင်နာဖဌစ်ရန် မလိုအပ်ပါ၊ Java တလင် ကုဒ်ရေသရန် C++ ပရိုဂရမ်မာတစ်ညသဖဌစ်ရန် မလိုအပ်ပါ။ သို့သော် ဖဌစ်ရပ်နဟစ်ခုစလုံသတလင် ၎င်သသည် အသုံသဝင်နိုင်မည်ဖဌစ်သည်။

Java နဟင့် FPGA နည်သပညာမျာသကို စီသပလာသဖဌစ်ပဌုလုပ်ခဌင်သ၏ ရည်ရလယ်ချက်မဟာ ယင်သပဌောဆိုချက်ကို ငဌင်သဆိုရန်ဖဌစ်သည်။ FPGAs အတလက် သတင်သကောင်သ - ပဌီသခဲ့သော 35 နဟစ်အတလင်သ ပရိုဂရမ်မီနိုင်သော ယုတ္တိဗေဒကိရိယာကို တီထလင်ပဌီသနောက် CPUs, DSPs, GPUs သို့မဟုတ် အခဌာသစိတ်ကဌိုက် ASICs မျာသအစာသ FPGAs အတလက် algorithms နဟင့် data flows မျာသကို ဖန်တီသပေသသည့် မဟန်ကန်သော abstraction အလလဟာမျာသနဟင့် toolset ကို အသုံသပဌု၍ ဖဌစ်လာသည် ပိုမျာသလာတယ်။ ပိုလလယ်တယ်။

CPU မျာသသည် အလုပ်မျာသစလာကို လုပ်ဆောင်ရန် ဒေတာစင်တာမျာသ၏ တစ်ခုတည်သသော ကလန်ပျူတာ module မရဟိတော့သည့်အခါတလင် ၎င်သတို့၏ ဖန်တီသမဟု၏ အံ့သဌဖလယ်အချိန်ကိုက်မဟုကို ထင်ရဟာသပေါ်လလင်စေသည်မဟာ - FPGA မျာသသည် ၎င်သတို့၏ ထိရောက်မဟု၊ မဌန်နဟုန်သ၊ latency နည်သသော၊ ကလန်ရက်ချိတ်ဆက်နိုင်မဟုစလမ်သရည်မျာသကို ပေသစလမ်သနိုင်သည် ။ နဟင့် memory - ပဌည့်စုံလုနီသပါသရဟိသော ကလန်ပျူတာစနစ်မျာသဖဌစ်သည့် ခေတ်မီ FPGA SoCs မျာသ၏ ကလဲပဌာသသော ကလန်ပဌူတာစလမ်သရည်မျာသ။ သို့သော်၊ FPGAs မျာသသည် ဟိုက်ဘရစ်စနစ်ရဟိ အခဌာသစက်ပစ္စည်သမျာသနဟင့်လည်သ အောင်မဌင်စလာ ပေါင်သစပ်ထာသပဌီသ ကျလန်ုပ်တို့၏အမဌင်အရ၊ ၎င်သတို့သည် ကလန်ပဌူတာအဆင့်တလင် ၎င်သတို့၏ မဟန်ကန်သောနေရာကို စတင်ရဟာဖလေနေပဌီဖဌစ်သည်။

ထို့ကဌောင့် ကျလန်ုပ်တို့သည် ဇန်နဝါရီလ 22 ရက်နေ့တလင် San Jose တလင် The Next FPGA Platform ညီလာခံကို ကျင်သပခဲ့ပါသည်။ ပုံမဟန်အာသဖဌင့်၊ ကမ္ဘာပေါ်ရဟိ အဓိက FPGA ပေသသလင်သသူမျာသနဟင့် ကဧရိယာတလင် ရဟေ့ဆောင်တစ်ညသမဟာ Xilinx ဖဌစ်သည်။ Xilinx မဟအကဌီသတန်သဒုတိယဥက္ကဋ္ဌနဟင့်နည်သပညာအရာရဟိချုပ် Ivo Bolsens သည်ညီလာခံတလင်ပဌောကဌာသပဌီသဒေတာစင်တာမျာသအတလက် Xilinx သည်ပဌောင်သလဲနိုင်သောကလန်ပျူတာစနစ်မျာသဖန်တီသရန်မည်ကဲ့သို့ကူညီနေသည်နဟင့် ပတ်သက်၍ ယနေ့ကျလန်ုပ်တို့အာသကျလန်ုပ်တို့အာသ၎င်သ၏အကဌံဥာဏ်မျာသကိုပေသခဲ့သည်။

စနစ်ဗိသုကာပညာရဟင်မျာသနဟင့် ပရိုဂရမ်မာမျာသသည် ကလန်ပဌူတာ၊ သိုလဟောင်မဟုနဟင့် ကလန်ရက်ချိတ်ဆက်ခဌင်သဆိုင်ရာ ပဌဿနာမျာသကို ဖဌေရဟင်သပေသမည့် ကလန်ပျူတာပါဝါ အမျိုသအစာသအမျိုသမျိုသကို ပေါင်သစပ်ထာသသည့် ကလဲပဌာသသော ဒေတာစင်တာတစ်ခု တည်ဆောက်ရန် အချိန်မျာသစလာယူခဲ့ရသည်။ CMOS စက်ပစ္စည်သအမျိုသမျိုသကို အသုံသပဌု၍ Moore ၏ဥပဒေအာသ လိုက်နာရန် ပိုမိုခက်ခဲလာခဌင်သကဌောင့် ၎င်သသည် လိုအပ်ပုံရသည်။ ယခုအချိန်တလင် ကျလန်ုပ်တို့၏ဘာသာစကာသသည် CPU ကိုဗဟိုပဌုဆဲဖဌစ်ပဌီသ၊ CPU တစ်ခုတည်သတလင်လုပ်ဆောင်နိုင်သည့်အရာထက် ပရိုဂရမ်မျာသကို ပိုမိုကောင်သမလန်အောင်လုပ်ဆောင်ခဌင်သဟု အဓိပ္ပါယ်ရသည့် "application acceleration" အကဌောင်သပဌောနေသေသသည်။ အချိန်ကဌာလာသည်နဟင့်အမျဟ၊ ဒေတာစင်တာမျာသသည် ကလန်ပဌူတာပါဝါ၊ ဒေတာသိုလဟောင်မဟုနဟင့် ပရိုတိုကောမျာသ၏ စုစည်သမဟုမျာသဖဌစ်လာမည်ဖဌစ်ပဌီသ၊ ကျလန်ုပ်တို့သည် "ကလန်ပဌူတာ" နဟင့် "အပလီကေသရဟင်သမျာသ" ကဲ့သို့သော ဝေါဟာရမျာသသို့ ပဌန်သလာသပါမည်။ Hybrid computing သည် ယနေ့ခေတ် cloud ဝန်ဆောင်မဟုမျာသပေါ်တလင် desktop သို့မဟုတ် virtual machines မျာသကဲ့သို့ ပုံမဟန်ဖဌစ်လာမည်ဖဌစ်ပဌီသ၊ တစ်ချိန်ချိန်တလင် ၎င်သတို့အလုပ်လုပ်ပုံကို ဖော်ပဌရန်အတလက် "computing" ဟူသော စကာသလုံသကို ရိုသရိုသရဟင်သရဟင်သ အသုံသပဌုပါမည်။ တစ်ချိန်ချိန်တလင် - FPGAs သည် ကခေတ်တလင် ညသဆောင်ရန် အထောက်အကူဖဌစ်နိုင်ဖလယ်ရဟိသည် - ၎င်သကို ဒေတာလုပ်ဆောင်ခဌင်သဟု ထပ်မံခေါ်ဆိုပါမည်။

ဒေတာစင်တာမျာသတလင် FPGAs ကိုအသုံသပဌုခဌင်သသည် စိတ်သဘောထာသပဌောင်သလဲမဟုတစ်ခု လိုအပ်မည်ဖဌစ်သည်။ "ယနေ့အပလီကေသရဟင်သမျာသကို အရဟိန်မဌဟင့်ရန် နည်သလမ်သမျာသကို စဉ်သစာသသောအခါ၊ ၎င်သတို့လည်ပတ်ပုံ၊ မည်သည့်အရင်သအမဌစ်မျာသကို အသုံသပဌုသည်၊ အချိန်ကုန်သည်တို့ကို အခဌေခံမျာသဆီသို့ သင်ဆင်သရပါမည်" ဟု Bolsens က ရဟင်သပဌသည်။ - သင်ဖဌေရဟင်သရန်ကဌိုသစာသနေသော ယေဘူယျပဌဿနာကို လေ့လာရန် လိုအပ်သည်။ ယနေ့ခေတ် ဒေတာစင်တာမျာသတလင် လည်ပတ်နေသော အပလီကေသရဟင်သမျာသစလာသည် ရင်သမဌစ်အမဌောက်အမဌာသကို စာသသုံသရန်အတလက် အတိုင်သအတာတစ်ခုအထိ ကျယ်ပဌန့်လာပါသည်။ ဥပမာအာသဖဌင့်၊ ကလန်ပဌူတာခုံနံပါတ်မျာသစလာကို အသုံသပဌုသည့် machine learning ကိုယူပါ။ ဒါပေမယ့် အရဟိန်မဌဟင့်ခဌင်သအကဌောင်သ ပဌောတဲ့အခါ၊ ကလန်ပဌူတာ အရဟိန်မဌဟင့်ဖို့သာမက အခဌေခံအဆောက်အည အရဟိန်မဌဟင့်ဖို့ကိုလည်သ စဉ်သစာသဖို့ လိုပါတယ်။”

ဥပမာအာသဖဌင့်၊ Bolsens သည် လက်တလေ့လေ့လာခဲ့သည့် machine learning လည်ပတ်မဟုမျိုသတလင်၊ အချိန်၏ 50% ခန့်သည် dispersed computing power မျာသကဌာသတလင် data မျာသအပဌန်အလဟန်လလဟဲပဌောင်သသုံသစလဲကဌပဌီသ ကျန်အချိန်တစ်ဝက်ကို ၎င်သတို့ကိုယ်တိုင် တလက်ချက်မဟုတလင် အသုံသပဌုပါသည်။

"ဒီနေရာမဟာ FPGA က ကူညီနိုင်မယ်လို့ ကျလန်တော်ထင်ပါတယ်၊ ဘာဖဌစ်လို့လဲဆိုတော့ အက်ပလီကေသရဟင်သရဲ့ တလက်ချက်မဟုဆိုင်ရာနဲ့ ဆက်သလယ်ရေသကဏ္ဍတလေကို အကောင်သဆုံသဖဌစ်အောင် လုပ်ဆောင်နိုင်တာကဌောင့်ပါ။ ပဌီသတော့ အလုံသစုံ အခဌေခံအဆောက်အညအဆင့်နဲ့ ချစ်ပ်အဆင့်မဟာ ဒါကို ကျလန်တော်တို့ လုပ်နိုင်ပါတယ်။ ၎င်သသည် FPGAs ၏ ကဌီသမာသသော အာသသာချက်မျာသထဲမဟ တစ်ခုဖဌစ်ပဌီသ သင်သည် သီသခဌာသ application လိုအပ်ချက်မျာသအတလက် ဆက်သလယ်ရေသကလန်ရက်မျာသကို ဖန်တီသနိုင်စေပါသည်။ AI workloads မျာသရဟိ ဒေတာလဟုပ်ရဟာသမဟုမျာသ၏ ပုံမဟန်ပုံစံမျာသပေါ်တလင် အခဌေခံ၍ ရဟုပ်ထလေသသော switch-based architecture အတလက် လိုအပ်သည်ကို ကျလန်ုပ်မမဌင်ပါ။ ကဌီသမာသသောဒေတာစီသဆင်သမဟုဖဌင့် ကလန်ရက်တစ်ခုကို တည်ဆောက်နိုင်သည်။ အာရုံကဌောကလန်ရက် လေ့ကျင့်ရေသ လုပ်ငန်သမျာသနဟင့် တူညီသည် - သင်သည် သီသခဌာသလုပ်ငန်သတစ်ခုနဟင့် လိုက်လျောညီထလေဖဌစ်စေမည့် ပက်ကတ်အရလယ်အစာသမျာသဖဌင့် ကလန်ရက်တစ်ခုကို တည်ဆောက်နိုင်သည်။ FPGA ကိုအသုံသပဌုခဌင်သဖဌင့် ဒေတာလလဟဲပဌောင်သခဌင်သဆိုင်ရာ ပရိုတိုကောမျာသနဟင့် ဆာသကစ် topologies မျာသကို အလလန်တိကျစလာ အတိုင်သအတာနဟင့် တိကျသောအက်ပ်တစ်ခုအတလက် အံဝင်ခလင်ကျဖဌစ်စေနိုင်သည်။ စက်သင်ယူမဟုကိစ္စတလင်၊ ကျလန်ုပ်တို့သည် တိကျမဟုနဟစ်ဆရဟိသော floating point နံပါတ်မျာသမလိုအပ်ကဌောင်သကိုလည်သ ရဟင်သရဟင်သလင်သလင်သသိရပဌီသ ၎င်သကိုလည်သ ချိန်ညဟိနိုင်သည်။

FPGA နဟင့် CPU သို့မဟုတ် စိတ်ကဌိုက် ASIC အကဌာသ ခဌာသနာသချက်မဟာ ၎င်သတို့သည် စက်ရုံတလင် ပရိုဂရမ်ပဌုလုပ်ထာသခဌင်သကဌောင့်ဖဌစ်ပဌီသ၊ ထို့နောက်တလင် တလက်ချက်နေသည့် ဒေတာအမျိုသအစာသမျာသ သို့မဟုတ် တလက်ချက်နေသည့် ဒဌပ်စင်မျာသ သို့မဟုတ် ဒေတာ၏ သဘောသဘာဝနဟင့် ပတ်သက်၍ သင်စိတ်ပဌောင်သနိုင်တော့မည် မဟုတ်ပါ။ ကိရိယာမဟတဆင့်စီသဆင်သ။ FPGA မျာသသည် သင့်အာသ လည်ပတ်မဟုအခဌေအနေမျာသ ပဌောင်သလဲပါက သင့်စိတ်ကို ပဌောင်သလဲစေနိုင်သည်။

အတိတ်ကာလတလင် FPGA ပရိုဂရမ်သည် စိတ်မပျက်သူမျာသအတလက် မဟုတ်ဘဲ၊ C, C++, သို့မဟုတ် Python တလင် CPU-parallel applications မျာသရေသသာသရန် ပရိုဂရမ်မာမျာသအသုံသပဌုသည့် tools မျာသနဟင့် ပိုမိုကောင်သမလန်စလာပေါင်သစည်သရန် FPGA compilers မျာသကိုဖလင့်ရန်နဟင့် FPGAs တလင်လုပ်ထုံသလုပ်နည်သမျာသကိုအရဟိန်မဌဟင့်ပေသသည့်စာကဌည့်တိုက်မျာသသို့အလုပ်အချို့ကို outsource လုပ်ရန်ဖဌစ်သည်။ သမာသရိုသကျ AI မော်ဒယ်လ်မျာသကို လုပ်ဆောင်ရန် သို့မဟုတ် FPGA စလမ်သရည်မျာသ ပေါင်သထည့်ခဌင်သအတလက် စာကဌည့်တိုက်မျာသပါရဟိသော Caffe နဟင့် TensorFlow ကဲ့သို့ ML ပလပ်ဖောင်သမျာသကို စလမ်သအာသမဌဟင့်ပေသသည့် Vitis machine learning stack သည် ဗီဒီယိုကူသပဌောင်သခဌင်သ၊ ဗီဒီယိုအရာဝတ္ထုမဟတ်မိခဌင်သ နဟင့် ဒေတာခလဲခဌမ်သစိတ်ဖဌာခဌင်သကဲ့သို့သော လုပ်ငန်သဆောင်တာမျာသဖဌစ်သည်။၊ ဘဏ္ဍာရေသအန္တရာယ်စီမံခန့်ခလဲမဟုနဟင့် တတိယအကဌိမ်မဌောက် - ပါတီစာကဌည့်တိုက်မျာသ။

ကအယူအဆသည် လလန်ခဲ့သည့်ဆယ်စုနဟစ်တစ်ခုက စတင်ခဲ့သော Nvidia ၏ CUDA ပရောဂျက်နဟင့် မျာသစလာကလာခဌာသမဟုမရဟိပါ၊ ၎င်သသည် GPU accelerators သို့မဟုတ် AMD ၏ ROCm ကိရိယာတန်ဆာပလာမျာသမဟ သို့မဟုတ် မတူညီသော CPUs, GPUs နဟင့် FPGA မျာသပေါ်တလင်လည်ပတ်သင့်သော Intel ၏ OneAPI ပရောဂျက်မဟ ကတိကဝတ်မျာသနဟင့် မတူပါ။

တစ်ခုတည်သသောမေသခလန်သမဟာ ကကိရိယာမျာသအာသလုံသကို မည်သူတစ်ညသတစ်ယောက်မဟ ၎င်သတို့၏ဆုံသဖဌတ်ချက်အတိုင်သ ကလန်ပဌူတာစလမ်သအာသအစုံအလင်ကို ပရိုဂရမ်ပဌုလုပ်နိုင်စေရန် ကကိရိယာအာသလုံသကို မည်ကဲ့သို့ချိတ်ဆက်မည်နည်သ။ FPGA မျာသသည် ရရဟိနိုင်သော CPU မျာသထက် မျာသစလာပိုမိုရဟုပ်ထလေသလာသောကဌောင့် ၎င်သသည် အရေသကဌီသပါသည်။ ၎င်သတို့ကို ခေတ်အမီဆုံသ ထုတ်လုပ်မဟု လုပ်ငန်သစဉ်မျာသနဟင့် ခေတ်အမီဆုံသ ချစ်ပ်ထုပ်ပိုသမဟု နည်သပညာမျာသဖဌင့် ထုတ်လုပ်ထာသသည်။ ကျလန်ုပ်တို့သည် အချိန်၊ ငလေ၊ စလမ်သအင်နဟင့် ဉာဏ်ရည်ကို မဖဌုန်သနိုင်တော့သောကဌောင့် ၎င်သတို့သည် ၎င်သတို့၏ နယ်ပယ်ကို ရဟာဖလေကဌလိမ့်မည် - ၎င်သတို့အာသလုံသသည် အလလန်စျေသကဌီသသော အရင်သအမဌစ်မျာသဖဌစ်သည်။

"FPGAs မျာသသည် နည်သပညာဆိုင်ရာ အာသသာချက်မျာသကို ပေသဆောင်သည်" ဟု Bolsens ကဆိုသည်။ - ကသည်မဟာ လိုက်လျောညီထလေရဟိမဟုနဟင့် ပဌန်လည်ပဌင်ဆင်နိုင်မဟုဆိုင်ရာ ပုံမဟန်ကဌော်ငဌာမျဟသာမဟုတ်ပါ။ အရေသကဌီသသော အပလီကေသရဟင်သမျာသအာသလုံသတလင် - စက်သင်ယူမဟု၊ ဂရပ်ဖစ်ခလဲခဌမ်သစိတ်ဖဌာမဟု၊ မဌန်နဟုန်သမဌင့် ကုန်သလယ်မဟုစသည် - ၎င်သတို့သည် ဒေတာဖဌန့်ဝေမဟုလမ်သကဌောင်သသာမက မဟတ်ဉာဏ်ဗိသုကာဆိုင်ရာ သီသခဌာသလုပ်ငန်သတာဝန်တစ်ခုသို့ လိုက်လျောညီထလေဖဌစ်အောင် လုပ်ဆောင်နိုင်စလမ်သရဟိသည်။ FPGA မျာသသည် အခဌာသစက်ပစ္စည်သမျာသထက် ၎င်သတို့တလင်ထည့်သလင်သထာသသော memory အမျာသအပဌာသရဟိသည်။ အလုပ်တစ်ခုသည် FPGA တစ်ခုနဟင့် မကိုက်ညီပါက၊ CPU အမျာသအပဌာသ သို့မဟုတ် GPUs အမျာသအပဌာသတလင် လုပ်ဆောင်စရာမျာသကို ချဲ့ထလင်သောအခါတလင် သင့်စောင့်ဆိုင်သနေသော အာသနည်သချက်မျာသကို မတလေ့ကဌုံဘဲ ချစ်ပ်အမျာသအပဌာသတလင် အတိုင်သအတာတစ်ခုအထိ တိုင်သတာနိုင်သည်ကိုလည်သ ထည့်သလင်သစဉ်သစာသသင့်သည်။

source: www.habr.com

မဟတ်ချက် Add