ဆလေသနလေသချက်- OpenROAD ပရောဂျက်သည် ပရိုဆက်ဆာဒီဇိုင်သ၏ အလိုအလျောက်လုပ်ဆောင်ခဌင်သဆိုင်ရာ ပဌဿနာကို ဖဌေရဟင်သရန် ရည်ရလယ်သည်။

ဆလေသနလေသချက်- OpenROAD ပရောဂျက်သည် ပရိုဆက်ဆာဒီဇိုင်သ၏ အလိုအလျောက်လုပ်ဆောင်ခဌင်သဆိုင်ရာ ပဌဿနာကို ဖဌေရဟင်သရန် ရည်ရလယ်သည်။
ဓါတ်ပုံ - Pexels — CC BY

အပေါ် ပေသထာသတယ် PWC၊ တစ်ပိုင်သလျဟပ်ကူသပစ္စည်သနည်သပညာဈေသကလက်သည် ကဌီသထလာသလာနေပဌီသ ယမန်နဟစ်က ဒေါ်လာ ၄၈၁ ဘီလီယံအထိ ရောက်ရဟိခဲ့သည်။ ဒါပေမယ့် သူ့ရဲ့တိုသတက်မဟုနဟုန်သက မကဌာသေသပါဘူသ။ လျော့နည်သသလာသသည်. ကျဆင်သရသည့်အကဌောင်သရင်သမျာသထဲတလင် စက်ဒီဇိုင်သလုပ်ငန်သစဉ်မျာသ ရဟုပ်ထလေသမဟုနဟင့် အလိုအလျောက်စနစ်မရဟိခဌင်သ တို့ဖဌစ်သည်။

လလန်ခဲ့သောနဟစ်အနည်သငယ်က Intel မဟအင်ဂျင်နီယာမျာသ ရေသသာသခဲ့သည်စလမ်သဆောင်ရည်မဌင့် မိုက်ခရိုပရိုဆက်ဆာကို ဖန်တီသသောအခါတလင် သီသခဌာသဆော့ဖ်ဝဲကိရိယာ 100-150 ကို အသုံသပဌုရပါမည် (EDA) မတူညီသော ချစ်ပ်အမျိုသအစာသမျာသစလာပါဝင်သည့် ဗိသုကာလက်ရာမျာသဖဌစ်သော ASIC၊ FPGA၊ CPU သို့မဟုတ် GPU တို့တလင် အခဌေအနေကို ပိုမိုဆိုသရလာသသလာသစေနိုင်သည်။ ရလဒ်အနေဖဌင့် ထုတ်ကုန်မျာသ ထုတ်လလဟတ်မဟုကို နဟောင့်နဟေသစေသည့် ဒီဇိုင်သအမဟာသမျာသ ဖဌစ်ပေါ်လာသည်။

အရန်ကိရိယာမျာသ အမျာသအပဌာသရဟိသော်လည်သ အင်ဂျင်နီယာမျာသသည် အလုပ်အချို့ကို ကိုယ်တိုင်လုပ်ဆောင်ရန် တလန်သအာသပေသနေဆဲဖဌစ်သည်။ စာအုပ်ရေသသာသသူတလေက “အဆင့်မဌင့် Logic Synthesis“တခါတရံ ဒီဇိုင်နာတလေ ပဌောကဌတယ်။ လုပ်ရမယ် စာကဌည့်တိုက်မျာသဖန်တီသရန် စာကဌောင်သနဟစ်သန်သရဟိသော Skill သို့မဟုတ် Python တလင် script မျာသရေသပါ။ ဆဲလ်မျာသ.

EDA စနစ်မျာသမဟ ထုတ်ပေသသော အစီရင်ခံစာမျာသကို ခလဲခဌမ်သစိတ်ဖဌာရန်အတလက်လည်သ Script မျာသကို ရေသသာသထာသပါသည်။ 22nm လုပ်ငန်သစဉ်နည်သပညာကို အသုံသပဌု၍ ချစ်ပ်တစ်ခုကို တီထလင်သောအခါ၊ ကအစီရင်ခံစာမျာသသည် 30 terabytes အထိ ကဌာနိုင်သည်။

DARPA သည် အခဌေအနေကို ပဌုပဌင်ရန် ဆုံသဖဌတ်ပဌီသ ဒီဇိုင်သလုပ်ငန်သစဉ်မျာသကို စံသတ်မဟတ်ရန် ကဌိုသစာသခဲ့သည်။ အေဂျင်စီမဟာလည်သ ထည့်သလင်သစဉ်သစာသချစ်ပ်မျာသဖန်တီသရန် ရဟိပဌီသသာသနည်သလမ်သမျာသသည် ခေတ်နောက်ကျနေပဌီဖဌစ်သည်။ အဖလဲ စတင်ခဲ့တယ် ငါသနဟစ်အစီအစဉ် OpenROADChip ဒီဇိုင်သလုပ်ငန်သစဉ်မျာသကို အလိုအလျောက်လုပ်ဆောင်ရန် ကိရိယာအသစ်မျာသ တီထလင်ရန် ရည်ရလယ်သည်။

ဘယ်လိုအစီအစဉ်လဲ။

ပရိုဂရမ်တလင် ချစ်ပ်ဖန်တီသမဟု အဆင့်မျာသကို အလိုအလျောက်လုပ်ဆောင်ရန် စက်သင်ယူမဟုနဟင့် cloud နည်သပညာမျာသကို အသုံသပဌုသည့် ပရောဂျက်မျာသစလာ ပါဝင်ပါသည်။ အစပျိုသမဟု၏တစ်စိတ်တစ်ပိုင်သအနေဖဌင့် ဖလံ့ဖဌိုသတိုသတက်လျက်ရဟိသည်။ (ပုံ-၁) တူရိယာ ဆယ်ခုကျော်။ နောက်တစ်ခုကတော့ Flow Runner၊ RePlAce၊ TritonCTS၊ OpenSTA တို့အကဌောင်သ အသေသစိတ်ကို ပဌောပဌပါမယ်။

Flow Runner RTL နဟင့် GDSII စာကဌည့်တိုက်မျာသကိုစီမံခန့်ခလဲရန်ကိရိယာတစ်ခုဖဌစ်သည်။ နောက်ပိုင်သတလင် ပေါင်သစည်သထာသသော ဆာသကစ်မျာသနဟင့် ၎င်သတို့၏ topologies မျာသအကဌောင်သ အချက်အလက်ဖလဟယ်ရန်အတလက် လုပ်ငန်သစံနဟုန်သတစ်ခုဖဌစ်သည့် ဒေတာဘေ့စ်ဖိုင်မျာသဖဌစ်သည်။ ဖဌေရဟင်သချက်သည် Docker container နည်သပညာကို အခဌေခံထာသသည်။ သင်သည် Flow Runner ကို cloud တလင်ရော စက်တလင်သ၌ပါ သုံသနိုင်သည်။ တပ်ဆင်ခဌင်သလမ်သညလဟန်သည်တရာသဝင်သိုလဟောင်မဟုတလင်ရဟိသည်။ GitHub တလင်.

RePlAce Chip တစ်ခုပေါ်တလင် အစိတ်အပိုင်သမျာသကို နေရာချခဌင်သနဟင့် အလိုအလျောက်လမ်သကဌောင်သတင်ခဌင်သအတလက် တာဝန်ရဟိသည့် machine learning ကို အခဌေခံထာသသော cloud solution တစ်ခုဖဌစ်သည်။ အာသဖဌင့် အချို့သောဒေတာဉာဏ်ရည်ထက်မဌက်သော အယ်လဂိုရီသမ်မျာသသည် ရဟေသရိုသစနစ်မျာသထက် ကိရိယာ၏ စလမ်သဆောင်ရည်ကို 2-10% တိုသစေသည်။ ထို့အပဌင်၊ cloud တလင် အကောင်အထည်ဖော်ခဌင်သသည် အတိုင်သအတာကို ပိုမိုလလယ်ကူစေသည်။ တပ်ဆင်ခဌင်သနဟင့် ဖလဲ့စည်သမဟုလမ်သညလဟန်ကိုလည်သ ရရဟိနိုင်သည်။ repository ထဲမဟာ.

TritonCTS - ချစ်ပ်သို့ ထောက်ပံ့ပေသထာသသော နာရီပဲမျာသကို ပိုမိုကောင်သမလန်အောင်ပဌုလုပ်ရန် အသုံသဝင်မဟုတစ်ခု။ တူညီသောနဟောင့်နဟေသမဟုမျာသဖဌင့် စက်၏ အစိတ်အပိုင်သအာသလုံသသို့ နာရီအချက်ပဌမဟုမျာသကို လမ်သကဌောင်သပေသသည်။ လည်ပတ်မဟုနိယာမအပေါ် အခဌေခံသည်။ ဇ-သစ်ပင်. ဒီလိုရေသပါတယ်။ တိုသ သမာသရိုသကျ နည်သလမ်သမျာသနဟင့် နဟိုင်သယဟဉ်ပါက အချက်ပဌဖဌန့်ဖဌူသမဟု ထိရောက်မဟု 30% ရဟိသည်။ အနာဂတ်တလင် ကကိန်သဂဏန်သသည် 56% အထိ တိုသလာနိုင်သည်ဟု developer မျာသက ဆိုသည်။ TritonCTS အရင်သအမဌစ်ကုဒ်နဟင့် scripts မျာသရရဟိနိုင်သည်။ GitHub တလင်.

OpenSTA - တည်ငဌိမ်ချိန်ကိုက်ခလဲခဌမ်သစိတ်ဖဌာမဟုအတလက်အင်ဂျင်။ ၎င်သသည် ဒီဇိုင်နာအာသ ချစ်ပ်၏ လုပ်ဆောင်နိုင်စလမ်သကို အမဟန်တကယ် မတပ်ဆင်မီ စစ်ဆေသရန် အခလင့်အရေသပေသသည်။ OpenSTA တလင် နမူနာကုဒ် ရူပ ဒီလိုမျိုသ။

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

utility သည် Verilog ကုဒ်၊ Liberty ဖော်မတ်စာကဌည့်တိုက်မျာသ၊ SDC ဖိုင်မျာသ စသည်တို့၏ netlist ဖော်ပဌချက်မျာသကို ပံ့ပိုသပေသပါသည်။

အာသသာချက်မျာသနဟင့်အာသနည်သချက်မျာသ

IBM နဟင့် IEEE တို့မဟ ကျလမ်သကျင်သူမျာသ အမဟတ်cloud နည်သပညာမျာသနဟင့် machine learning မျာသသည် chip ထုတ်လုပ်မဟုတလင် အသုံသပဌုရန်အတလက် ကဌာမဌင့်နေပဌီဖဌစ်သည်။ ၎င်သတို့၏အမဌင်အရ၊ DARPA ပရောဂျက်သည် ကစိတ်ကူသကို အကောင်အထည်ဖော်ခဌင်သ၏ အောင်မဌင်သော ဥပမာတစ်ခု ဖဌစ်လာနိုင်သည်။ ထာသမယ်။ စက်မဟုလုပ်ငန်သပဌောင်သလဲမဟုမျာသ၏အစ။

OpenROAD ၏ ပလင့်လင်သသော သဘောသဘာဝသည် ကိရိယာမျာသ ပတ်ပတ်လည်တလင် အာသကောင်သသည့် အသိုက်အဝန်သတစ်ခုကို ဖန်တီသပေသပဌီသ လုပ်ငန်သသစ်မျာသကို ဆလဲဆောင်နိုင်မည်ဟုလည်သ မျဟော်လင့်ထာသသည်။

ဆလေသနလေသချက်- OpenROAD ပရောဂျက်သည် ပရိုဆက်ဆာဒီဇိုင်သ၏ အလိုအလျောက်လုပ်ဆောင်ခဌင်သဆိုင်ရာ ပဌဿနာကို ဖဌေရဟင်သရန် ရည်ရလယ်သည်။
ဓါတ်ပုံ - Pexels — CC BY

ပါဝင်ပဌီသသာသသူတလေရဟိပါတယ် - မစ်ရဟီဂန်တက္ကသိုလ်အခဌေစိုက်ဓာတ်ခလဲခန်သတစ်ခု၊ ပထမဆုံသဖဌစ်လိမ့်မည်။OpenROAD open source tools မျာသကို စမ်သသပ်မည့်သူ။ သို့သော် ဖဌေရဟင်သချက်အသစ်မျာသသည် နောက်ဆုံသထုတ်ကုန်မျာသ၏ ကုန်ကျစရိတ်အပေါ် သိသာထင်ရဟာသစလာ အကျိုသသက်ရောက်မဟုရဟိမရဟိကို မသိရသေသပေ။

ယေဘုယျအာသဖဌင့်၊ DARPA ၏ ညသဆောင်မဟုအောက်တလင် တီထလင်ထာသသည့် ကိရိယာမျာသသည် ပရိုဆက်ဆာစက်မဟုလုပ်ငန်သအပေါ် အပဌုသဘောဆောင်သော အကျိုသသက်ရောက်မဟုမျာသရဟိမည်ဟု မျဟော်လင့်ရပဌီသ နောက်ထပ်ပရောဂျက်အသစ်မျာသ ကနယ်ပယ်တလင် စတင်ပေါ်ပေါက်လာမည်ဖဌစ်သည်။ ဥပမာတစ်ခုက tool တစ်ခုဖဌစ်မယ်။ GEDA - ၎င်သသည် သင့်အာသ အစိတ်အပိုင်သမျာသကို အကန့်အသတ်မရဟိ အရေအတလက်ဖဌင့် ချစ်ပ်မျာသကို ဒီဇိုင်သဆလဲနိုင်စေပါသည်။ gEDA တလင် microcircuits မျာသနဟင့် board routing တို့ကို တည်သဖဌတ်ခဌင်သနဟင့် မော်ဒယ်ပဌုလုပ်ခဌင်သအတလက် အသုံသဝင်မဟုမျာသ ပါဝင်သည်။ ဖဌေရဟင်သချက်ကို UNIX ပလပ်ဖောင်သမျာသအတလက် ဖန်တီသထာသသော်လည်သ ၎င်သ၏ အစိတ်အပိုင်သအတော်မျာသမျာသသည် Windows အောက်တလင် အလုပ်လုပ်ပါသည်။ ၎င်သတို့နဟင့် လုပ်ဆောင်ရန် လမ်သညလဟန်ချက်ကို တလေ့ရဟိနိုင်သည်။ ပရောဂျက်ဝဘ်ဆိုဒ်ရဟိစာရလက်စာတမ်သမျာသတလင်.

လလတ်လလတ်လပ်လပ် ရနိုင်သော ကိရိယာမျာသသည် အမဟီအခိုကင်သသော အဖလဲ့အစည်သမျာသနဟင့် လုပ်ငန်သစဥ်မျာသကို ပိုမိုရလေသချယ်ခလင့် ပေသပါသည်။ အချိန်ကဌာလာသည်နဟင့်အမျဟ၊ OpenROAD ၏ EDA ကိရိယာ ဖလံ့ဖဌိုသတိုသတက်မဟုနဟင့် ချစ်ပ်ဒီဇိုင်သအတလက် ချဉ်သကပ်မဟုအသစ်မျာသသည် စက်မဟုလုပ်ငန်သစံတစ်ခု ဖဌစ်လာနိုင်ဖလယ်ရဟိသည်။

ကျလန်ုပ်တို့၏ကော်ပိုရိတ်ဘလော့ဂ်တလင် ကျလန်ုပ်တို့ရေသထာသသည်မျာသ-

source: www.habr.com

မဟတ်ချက် Add