Open Source FPGA Initiative

အကျိုးအမြတ်မယူသောအဖွဲ့အစည်းအသစ်ဖြစ်သော Open-Source FPGA ဖောင်ဒေးရှင်း (OSFPGA) သည် field programmable gate array ကိုအသုံးပြုခြင်းနှင့်ဆက်စပ်သော open hardware နှင့် software solutions များပူးပေါင်းဆောင်ရွက်သည့်ဖွံ့ဖြိုးတိုးတက်မှုအတွက်ရည်ရွယ်၍ Open-Source FPGA Foundation (OSFPGA) FPGA) ချစ်ပ်ထုတ်လုပ်ပြီးနောက် ပြန်လည်ပရိုဂရမ်မာနိုင်သော ယုတ္တိဗေဒလုပ်ဆောင်မှုကို ခွင့်ပြုသည့် ပေါင်းစပ်ဆားကစ်များ။ ထိုသို့သော ချစ်ပ်များရှိ အဓိက ဒွိစုံ လုပ်ဆောင်ချက်များ (AND, NAND, OR, NOR နှင့် XOR) ကို ထည့်သွင်းမှုများစွာနှင့် အထွက်တစ်ခုပါရှိသော လော့ဂျစ်ဂိတ်များ (ခလုတ်များ) ကို အသုံးပြုကာ၊ ဆော့ဖ်ဝဲလ်ဖြင့် ပြောင်းလဲနိုင်သော ချိတ်ဆက်မှုများအကြား ချိတ်ဆက်မှုပုံစံကို အသုံးပြုထားသည်။

OSFPGA ၏တည်ထောင်သူအဖွဲ့ဝင်များတွင် EPFL၊ QuickLogic၊ Zero ASIC နှင့် GSG Group ကဲ့သို့သော ကုမ္ပဏီများနှင့် ပရောဂျက်များမှ ထင်ရှားသော FPGA နည်းပညာသုတေသီအချို့ပါဝင်သည်။ အဖွဲ့အစည်းအသစ်၏ ပံ့ပိုးကူညီမှုအောက်တွင်၊ FPGA ချစ်ပ်များနှင့် အီလက်ထရွန်နစ်ဒီဇိုင်းအလိုအလျောက်စနစ် (EDA) တို့ကို အခြေခံ၍ လျင်မြန်သောပုံတူပုံစံရိုက်ခြင်းအတွက် အဖွင့်နှင့် အခမဲ့ကိရိယာအစုံကို တီထွင်သွားပါမည်။ အဖွဲ့အစည်းသည် FPGAs နှင့် ဆက်စပ်သော ပွင့်လင်းသော စံနှုန်းများ ပူးတွဲဖွံ့ဖြိုးတိုးတက်မှုကို ကြီးကြပ်မည်ဖြစ်ပြီး ကုမ္ပဏီများအတွက် အတွေ့အကြုံများနှင့် နည်းပညာများမျှဝေရန် ကြားနေဖိုရမ်တစ်ခု ပံ့ပိုးပေးမည်ဖြစ်သည်။

OSFPGA သည် FPGA များထုတ်လုပ်ရာတွင် ပါဝင်သော အင်ဂျင်နီယာလုပ်ငန်းစဉ်အချို့ကို ဖယ်ရှားပစ်ရန်၊ အသုံးပြုသူ developer များအား အဆင်သင့်လုပ်ထားသော၊ စိတ်ကြိုက် FPGA ဆော့ဖ်ဝဲလ်အစုအဝေးကို ပံ့ပိုးပေးပြီး အရည်အသွေးမြင့် ဗိသုကာအသစ်များကို ဖန်တီးရန်အတွက် ပူးပေါင်းလုပ်ဆောင်နိုင်မည်ဟု OSFPGA မှ မျှော်လင့်ထားသည်။ OSFPGA မှ ပံ့ပိုးပေးထားသော အဖွင့်ကိရိယာများကို အရည်အသွေးအမြင့်ဆုံးအဆင့်၊ အစည်းအဝေး သို့မဟုတ် စက်မှုလုပ်ငန်းစံနှုန်းများထက် ကျော်လွန်နေမည်ဖြစ်ကြောင်း မှတ်သားထားသည်။

Open-Source FPGA ဖောင်ဒေးရှင်း၏ အဓိကပန်းတိုင်များမှာ-

  • FPGA ဟာ့ဒ်ဝဲနှင့် ဆော့ဖ်ဝဲလ်ဆိုင်ရာ ကိရိယာအစုံကို ဖွံ့ဖြိုးတိုးတက်စေရန် အရင်းအမြစ်များနှင့် အခြေခံအဆောက်အအုံများ ပံ့ပိုးပေးခြင်း။
  • အမျိုးမျိုးသော ပွဲများမှတဆင့် ဤကိရိယာများအသုံးပြုမှုကို မြှင့်တင်ခြင်း။
  • အဆင့်မြင့် FPGA ဗိသုကာလက်ရာများ သုတေသနအတွက် ပံ့ပိုးမှု၊ ဖွံ့ဖြိုးတိုးတက်မှုနှင့် ပွင့်လင်းမြင်သာမှုတို့ကို ပံ့ပိုးပေးသည့်အပြင် ဆက်စပ်ဆော့ဖ်ဝဲလ်နှင့် ဟာ့ဒ်ဝဲဆိုင်ရာ ဖွံ့ဖြိုးတိုးတက်မှုများကို ပံ့ပိုးပေးပါသည်။
  • အများသူငှာရရှိနိုင်သော FPGA ဗိသုကာလက်ရာများ၊ ဒီဇိုင်းနည်းပညာများနှင့် ထုတ်ဝေမှုများမှရရှိသည့် ဘုတ်ဒီဇိုင်းများနှင့် သက်တမ်းကုန်ဆုံးသွားသော မူပိုင်ခွင့်ထုတ်ဖော်မှုများ၏ ကတ်တလောက်ကို ထိန်းသိမ်းခြင်း။
  • စိတ်ပါဝင်စားသော developer များ၏ အသိုက်အဝန်းကို တည်ဆောက်ရာတွင် အထောက်အကူဖြစ်စေရန်အတွက် လေ့ကျင့်ရေးပစ္စည်းများကို လက်လှမ်းမီရန် ပြင်ဆင်ပြီး ပံ့ပိုးပေးပါ။
  • FPGA ဗိသုကာနှင့် ဟာ့ဒ်ဝဲအသစ်များကို စမ်းသပ်ရန်နှင့် တရားဝင်အောင်ပြုလုပ်ရန် ကုန်ကျစရိတ်နှင့် အချိန်ကို လျှော့ချရန်အတွက် ချစ်ပ်ထုတ်လုပ်သူများနှင့် ပူးပေါင်းဆောင်ရွက်ခြင်းကို ရိုးရှင်းအောင်ပြုလုပ်ပါ။

ဆက်စပ်သော open source ကိရိယာများ-

  • OpenFPGA သည် Verilog ဖော်ပြချက်များကို အခြေခံ၍ ဟာ့ဒ်ဝဲထုတ်လုပ်ခြင်းကို ပံ့ပိုးပေးသော FPGAs အတွက် အီလက်ထရွန်းနစ်ဒီဇိုင်းအလိုအလျောက်စနစ် (EDA) အစုံအလင်ဖြစ်သည်။
  • 1st CLaaS သည် ဝဘ်နှင့် cloud အပလီကေးရှင်းများအတွက် ဟာ့ဒ်ဝဲ အရှိန်မြှင့်စက်များ ဖန်တီးရန် FPGAs ကို အသုံးပြုရန် ခွင့်ပြုသည့် မူဘောင်တစ်ခု ဖြစ်သည်။
  • Verilog-to-Routing (VTR) သည် Verilog ဘာသာစကားတွင် ဖော်ပြချက်တစ်ခုအပေါ် အခြေခံ၍ ရွေးချယ်ထားသော FPGA ၏ ဖွဲ့စည်းမှုပုံစံကို ဖန်တီးနိုင်စေမည့် ကိရိယာအစုံတစ်ခုဖြစ်သည်။
  • Symbiflow သည် Xilinx 7၊ Lattice iCE40၊ Lattice ECP5 နှင့် QuickLogic EOS S3 FPGAs တို့အပေါ် အခြေခံသည့် ဖြေရှင်းချက်များအား တီထွင်ရန်အတွက် ကိရိယာအစုံတစ်ခုဖြစ်သည်။
  • Yosys သည် ဘုံအပလီကေးရှင်းများအတွက် Verilog RTL ပေါင်းစပ်မှုဘောင်တစ်ခုဖြစ်သည်။
  • EPFL သည် logic synthesis applications များဖန်တီးရန်အတွက် စာကြည့်တိုက်များ စုစည်းမှုတစ်ခုဖြစ်သည်။
  • LSOracle သည် ယုတ္တိဗေဒပေါင်းစပ်မှုရလဒ်များကို အကောင်းဆုံးဖြစ်အောင်ပြုလုပ်ရန်အတွက် EPFL စာကြည့်တိုက်များတွင် အပိုပရိုဂရမ်တစ်ခုဖြစ်သည်။
  • Edalize သည် အီလက်ထရွန်းနစ် ဒီဇိုင်း အလိုအလျောက်စနစ် (EDA) စနစ်များနှင့် အပြန်အလှန် တုံ့ပြန်ခြင်းနှင့် ၎င်းတို့အတွက် ပရောဂျက်ဖိုင်များကို ထုတ်ပေးရန်အတွက် Python ကိရိယာတန်ဆာပလာတစ်ခုဖြစ်သည်။
  • GHDL သည် VHDL ဟာ့ဒ်ဝဲဖော်ပြချက်ဘာသာစကားအတွက် စုစည်းမှု၊ ခွဲခြမ်းစိတ်ဖြာသူ၊ သရုပ်ဖော်ကိရိယာနှင့် ပေါင်းစပ်ဖန်တီးပေးသူဖြစ်သည်။
  • VerilogCreator သည် ဤအပလီကေးရှင်းကို Verilog 2005 တွင် ဖွံ့ဖြိုးတိုးတက်မှုပတ်ဝန်းကျင်အဖြစ် ပြောင်းလဲပေးသည့် QtCreator အတွက် ပလပ်အင်တစ်ခုဖြစ်သည်။
  • FuseSoC သည် HDL (ဟာ့ဒ်ဝဲဖော်ပြချက်ဘာသာစကား) ကုဒ်နှင့် FPGA/ASIC အတွက် စုဝေးမှု abstraction utility အတွက် ပက်ကေ့ဂျ်မန်နေဂျာတစ်ခုဖြစ်သည်။
  • SOFA (Skywater Open-source FPGA) သည် Skywater PDK နှင့် OpenFPGA မူဘောင်ကို အသုံးပြု၍ ဖန်တီးထားသော open FPGA IP (ဉာဏပစ္စည်းပိုင်ဆိုင်မှု) အစုအဝေးတစ်ခုဖြစ်သည်။
  • openFPGALoader သည် FPGAs ပရိုဂရမ်ရေးသားခြင်းအတွက် အသုံးဝင်မှုတစ်ခုဖြစ်သည်။
  • LiteDRAM - DRAM အကောင်အထည်ဖော်မှုဖြင့် FPGA အတွက် စိတ်ကြိုက် IP Core။

ထို့အပြင်၊ ဂိမ်းစက်ဟောင်းများနှင့် ဂန္ထဝင်ကွန်ပြူတာများ၏ စက်ပစ္စည်းများကို အတုယူရန် TV သို့မဟုတ် မော်နီတာသို့ ချိတ်ဆက်ထားသည့် DE10-Nano FPGA ဘုတ်ကို အသုံးပြုခွင့်ပြုသည့် Main_MiSTer ပရောဂျက်ကို ကျွန်ုပ်တို့ မှတ်သားနိုင်ပါသည်။ လုပ်ဆောင်နေသည့် emulators များနှင့်မတူဘဲ၊ FPGA ကိုအသုံးပြုခြင်းဖြင့် သင်ရှိပြီးသား hardware platforms များအတွက် ရှိပြီးသား system images နှင့် applications များကို run နိုင်သည့် မူရင်း hardware environment ကို ပြန်လည်ဖန်တီးနိုင်စေပါသည်။

source: opennet.ru

မှတ်ချက် Add