рдЫрд▓рдлрд▓: OpenROAD рдкрд░рд┐рдпреЛрдЬрдирд╛рд▓реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЬрд╛рдЗрдирдХреЛ рд╕реНрд╡рдЪрд╛рд▓рдирдХреЛ рд╕рдорд╕реНрдпрд╛ рд╕рдорд╛рдзрд╛рди рдЧрд░реНрди рдЪрд╛рд╣рдиреНрдЫ

рдЫрд▓рдлрд▓: OpenROAD рдкрд░рд┐рдпреЛрдЬрдирд╛рд▓реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЬрд╛рдЗрдирдХреЛ рд╕реНрд╡рдЪрд╛рд▓рдирдХреЛ рд╕рдорд╕реНрдпрд╛ рд╕рдорд╛рдзрд╛рди рдЧрд░реНрди рдЪрд╛рд╣рдиреНрдЫ
╨д╨╛╤В╨╛ - Pexels - CC BY

рджреНрд╡рд╛рд░рд╛ рджрд┐рдИрдпреЛ PWC, рд╕реЗрдореАрдХрдиреНрдбрдХреНрдЯрд░ рдЯреЗрдХреНрдиреЛрд▓реЛрдЬреА рдмрдЬрд╛рд░ рдмрдвреНрджреИ рдЫ - рдЧрдд рд╡рд░реНрд╖ рдпреЛ $ 481 рдмрд┐рд▓рд┐рдпрди рдкреБрдЧреЗрдХреЛ рдЫред рддрд░ рд╣рд╛рд▓реИ рдпрд╕рдХреЛ рд╡реГрджреНрдзрд┐ рджрд░ рдХрдо рднрдпреЛред рдЧрд┐рд░рд╛рд╡рдЯрдХреЛ рдХрд╛рд░рдгрд╣рд░реВ рднреНрд░рдорд┐рдд рдЙрдкрдХрд░рдг рдбрд┐рдЬрд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛рд╣рд░реВ рд░ рд╕реНрд╡рдЪрд╛рд▓рдирдХреЛ рдХрдореА рд╕рдорд╛рд╡реЗрд╢ рдЫрдиреНред

рдХреЗрд╣реА рд╡рд░реНрд╖ рдкрд╣рд┐рд▓реЗ, рдЗрдиреНрдЯреЗрд▓рдмрд╛рдЯ рдЗрдиреНрдЬрд┐рдирд┐рдпрд░рд╣рд░реВ рд▓реЗрдЦрд┐рдпреЛрдЙрдЪреНрдЪ рдкреНрд░рджрд░реНрд╢рди рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ рд╕рд┐рд░реНрдЬрдирд╛ рдЧрд░реНрджрд╛ рддрдкрд╛рдИрдВрд▓реЗ 100-150 рдЕрд▓рдЧ рд╕рдлреНрдЯрд╡реЗрдпрд░ рдЙрдкрдХрд░рдгрд╣рд░реВ рдкреНрд░рдпреЛрдЧ рдЧрд░реНрдиреБрдкрд░реНрдЫ (рдИрдбреАрдП)ред рд╡рд┐рд╖рдо рдпрдиреНрддреНрд░рд╣рд░реВрдХреЛ рдорд╛рдорд▓рд╛рдорд╛ рд╕реНрдерд┐рддрд┐ рдмрд┐рдЧреНрд░рди рд╕рдХреНрдЫ, рдЬрд╕рдХреЛ рд╡рд╛рд╕реНрддреБрдХрд▓рд╛рд▓реЗ рд╡рд┐рднрд┐рдиреНрди рдкреНрд░рдХрд╛рд░рдХрд╛ рдЪрд┐рдкрд╣рд░реВ рд╕рдорд╛рд╡реЗрд╢ рдЧрд░реНрджрдЫ - ASIC, FPGA, CPU рд╡рд╛ GPUред рдирддрд┐рдЬрд╛рдХреЛ рд░реВрдкрдорд╛, рдбрд┐рдЬрд╛рдЗрди рддреНрд░реБрдЯрд┐рд╣рд░реВ рджреЗрдЦрд╛ рдкрд░реНрджрдЫ рдЬрд╕рд▓реЗ рдЙрддреНрдкрд╛рджрдирд╣рд░реВрдХреЛ рд░рд┐рд▓реАрдЬрдорд╛ рдврд┐рд▓рд╛рдЗ рдЧрд░реНрджрдЫред

рд╕рд╣рд╛рдпрдХ рдЙрдкрдХрд░рдгрд╣рд░реВрдХреЛ рдареВрд▓реЛ рд╕рдВрдЦреНрдпрд╛рдХреЛ рдмрд╛рд╡рдЬреБрдж, рдЗрдиреНрдЬрд┐рдирд┐рдпрд░рд╣рд░реВ рдЕрдЭреИ рдкрдирд┐ рдХреЗрд╣реА рдХрд╛рдо рдореНрдпрд╛рдиреБрдЕрд▓ рд░реВрдкрдорд╛ рдЧрд░реНрди рдмрд╛рдзреНрдп рдЫрдиреНред рдкреБрд╕реНрддрдХрдХрд╛ рд▓реЗрдЦрдХрд╣рд░реВ "рдЙрдиреНрдирдд рддрд░реНрдХ рд╕рдВрд╢реНрд▓реЗрд╖рдг"рдЙрдиреАрд╣рд░реВ рдХрд╣рд┐рд▓реЗрдХрд╛рд╣реАрдБ рдбрд┐рдЬрд╛рдЗрдирд░рд╣рд░реВ рднрдиреНрдЫрдиреН рд╣реБрдиреБ рдкрд░реНрдЫ рдкреБрд╕реНрддрдХрд╛рд▓рдпрд╣рд░реВ рд╕рд┐рд░реНрдЬрдирд╛ рдЧрд░реНрди рджреБрдИ рдорд┐рд▓рд┐рдпрди рд▓рд╛рдЗрдирд╣рд░реВрдХреЛ рд╕реНрдХрд┐рд▓ рд╡рд╛ рдкрд╛рдЗрдердирдорд╛ рд▓рд┐рдкрд┐рд╣рд░реВ рд▓реЗрдЦреНрдиреБрд╣реЛрд╕реН рдХрдХреНрд╖рд╣рд░реВ.

рд▓рд┐рдкрд┐рд╣рд░реВ EDA рдкреНрд░рдгрд╛рд▓реАрд╣рд░реВ рджреНрд╡рд╛рд░рд╛ рдЙрддреНрдкрдиреНрди рд░рд┐рдкреЛрд░реНрдЯрд╣рд░реВ рдкрд╛рд░реНрд╕ рдЧрд░реНрди рдкрдирд┐ рд▓реЗрдЦрд┐рдПрдХрд╛ рдЫрдиреНред 22nm рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдкреНрд░рд╡рд┐рдзрд┐ рдкреНрд░рдпреЛрдЧ рдЧрд░реЗрд░ рдЪрд┐рдк рд╡рд┐рдХрд╛рд╕ рдЧрд░реНрджрд╛, рдпреА рд░рд┐рдкреЛрд░реНрдЯрд╣рд░реВрд▓реЗ 30 рдЯреЗрд░рд╛рдмрд╛рдЗрдЯреНрд╕ рд▓рд┐рди рд╕рдХреНрдЫред

DARPA рд▓реЗ рдЕрд╡рд╕реНрдерд╛ рд╕рдЪреНрдпрд╛рдЙрди рд░ рдбрд┐рдЬрд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛рд▓рд╛рдИ рдорд╛рдирдХреАрдХрд░рдг рдЧрд░реНрдиреЗ рдкреНрд░рдпрд╛рд╕ рдЧрд░реНтАНрдпреЛред рдПрдЬреЗрдиреНрд╕реАрдорд╛ рдкрдирд┐ рд╡рд┐рдЪрд╛рд░ рдЧрд░реНрдиреБрд╣реЛрд╕реНрдХрд┐ рдЪрд┐рдкреНрд╕ рдмрдирд╛рдЙрди рдХреЛ рд▓рд╛рдЧреА рдЕрд╡рд╕реНрдерд┐рдд рд╡рд┐рдзрд┐рд╣рд░реБ рдкреБрд░рд╛рдирд╛ рдЫрдиреНред рд╕рдВрдЧрдарди рд╕реБрд░реВ рднрдпреЛ рдкрд╛рдБрдЪ рд╡рд░реНрд╖реЗ рдХрд╛рд░реНрдпрдХреНрд░рдо OpenROAD, рдЬрд╕рд▓реЗ рдЪрд┐рдк рдбрд┐рдЬрд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛рд╣рд░реВ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдЧрд░реНрди рдирдпрд╛рдБ рдЙрдкрдХрд░рдгрд╣рд░реВ рд╡рд┐рдХрд╛рд╕ рдЧрд░реНрдиреЗ рд▓рдХреНрд╖реНрдп рд░рд╛рдЦреНрдЫред

рдХрд╕реНрддреЛ рдХрд╛рд░реНрдпрдХреНрд░рдо

рдХрд╛рд░реНрдпрдХреНрд░рдорд▓реЗ рдЪрд┐рдк рдирд┐рд░реНрдорд╛рдгрдХреЛ рд╡реНрдпрдХреНрддрд┐рдЧрдд рдЪрд░рдгрд╣рд░реВ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдЧрд░реНрди рдореЗрд╕рд┐рди рд▓рд░реНрдирд┐рдЩ рд░ рдХреНрд▓рд╛рдЙрдб рдкреНрд░рд╡рд┐рдзрд┐рд╣рд░реВ рдкреНрд░рдпреЛрдЧ рдЧрд░реНрдиреЗ рдзреЗрд░реИ рдкрд░рд┐рдпреЛрдЬрдирд╛рд╣рд░реВ рд╕рдорд╛рд╡реЗрд╢ рдЧрд░реНрджрдЫред рдкрд╣рд▓ рдХреЛ рдПрдХ рднрд╛рдЧ рдХреЛ рд░реВрдк рдорд╛ рд╡рд┐рдХрд╛рд╕ рднрдЗрд░рд╣реЗрдХреЛ рдЫ (рдЪрд┐рддреНрд░ 1) рджрд╕ рднрдиреНрджрд╛ рдмрдвреА рдЙрдкрдХрд░рдгрд╣рд░реВред рдЕрд░реНрдХреЛ рд╣рд╛рдореА рддреА рдордзреНрдпреЗ рдХреЗрд╣реА рдмрд╛рд░реЗ рдердк рд╡рд┐рд╕реНрддрд╛рд░рдорд╛ рдХреБрд░рд╛ рдЧрд░реНрдиреЗрдЫреМрдВ: рдлреНрд▓реЛ рд░рдирд░, рд░рд┐рдкреНрд▓реЗрд╕, рдЯреНрд░рд╛рдЗрдЯрдирд╕реАрдЯреАрдПрд╕, рдУрдкрдирд╕реНрдЯрд╛ред

рдлреНрд▓реЛ рд░рдирд░ RTL рд░ GDSII рдкреБрд╕реНрддрдХрд╛рд▓рдпрд╣рд░реВ рд╡реНрдпрд╡рд╕реНрдерд╛рдкрдирдХреЛ рд▓рд╛рдЧрд┐ рдПрдЙрдЯрд╛ рдЙрдкрдХрд░рдг рд╣реЛред рдкрдЫрд┐рд▓реНрд▓реЛ рдбрд╛рдЯрд╛рдмреЗрд╕ рдлрд╛рдИрд▓рд╣рд░реВ рд╣реБрдиреН рдЬреБрди рдПрдХреАрдХреГрдд рд╕рд░реНрдХрд┐рдЯрд╣рд░реВ рд░ рддрд┐рдиреАрд╣рд░реВрдХреЛ рдЯреЛрдкреЛрд▓рдЬреАрд╣рд░реВрдХреЛ рдмрд╛рд░реЗрдорд╛ рдЬрд╛рдирдХрд╛рд░реА рдЖрджрд╛рдирдкреНрд░рджрд╛рдирдХреЛ рд▓рд╛рдЧрд┐ рдЙрджреНрдпреЛрдЧ рдорд╛рдирдХ рд╣реЛред рд╕рдорд╛рдзрд╛рди рдбрдХрд░ рдХрдиреНрдЯреЗрдирд░ рдкреНрд░рд╡рд┐рдзрд┐рдорд╛ рдЖрдзрд╛рд░рд┐рдд рдЫред рддрдкрд╛рдИрдВ рдлреНрд▓реЛ рд░рдирд░ рджреБрд╡реИ рдХреНрд▓рд╛рдЙрдб рд░ рд╕реНрдерд╛рдиреАрдп рд░реВрдкрдорд╛ рдЪрд▓рд╛рдЙрди рд╕рдХреНрдиреБрд╣реБрдиреНрдЫред рд╕реНрдерд╛рдкрдирд╛ рдЧрд╛рдЗрдб рдЖрдзрд┐рдХрд╛рд░рд┐рдХ рднрдгреНрдбрд╛рд░рдорд╛ рдЫ GitHub рдорд╛.

RePlAce рдореЗрд╕рд┐рди рд▓рд░реНрдирд┐рдЩрдорд╛ рдЖрдзрд╛рд░рд┐рдд рдХреНрд▓рд╛рдЙрдб рд╕рдорд╛рдзрд╛рди рд╣реЛ, рдЬреБрди рдЪрд┐рдкрдорд╛ рдХрдореНрдкреЛрдиреЗрдиреНрдЯрд╣рд░реВ рд░рд╛рдЦреНрди рд░ рд░рд╛рдЙрдЯрд┐рдЩ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдЧрд░реНрди рдЬрд┐рдореНрдореЗрд╡рд╛рд░ рд╣реБрдиреНрдЫред рджреНрд╡рд╛рд░рд╛ рдХреЗрд╣рд┐ рдбрд╛рдЯрд╛, рдмреМрджреНрдзрд┐рдХ рдПрд▓реНрдЧреЛрд░рд┐рджрдорд╣рд░реВрд▓реЗ рд╢рд╛рд╕реНрддреНрд░реАрдп рдкреНрд░рдгрд╛рд▓реАрд╣рд░реВрдХреЛ рддреБрд▓рдирд╛рдорд╛ рдЙрдкрдХрд░рдгрдХреЛ рджрдХреНрд╖рддрд╛ 2-10% рдмрдврд╛рдЙрдБрдЫред рдердк рд░реВрдкрдорд╛, рдХреНрд▓рд╛рдЙрдбрдорд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрдирд▓реЗ рд╕реНрдХреЗрд▓рд┐рдВрдЧрд▓рд╛рдИ рд╕рдЬрд┐рд▓реЛ рдмрдирд╛рдЙрдБрдЫред рд╕реНрдерд╛рдкрдирд╛ рд░ рдХрдиреНрдлрд┐рдЧрд░реЗрд╕рди рдЧрд╛рдЗрдб рдкрдирд┐ рдЙрдкрд▓рдмреНрдз рдЫ рднрдгреНрдбрд╛рд░рдорд╛.

TritonCTS - рдЪрд┐рдкрдорд╛ рдЖрдкреВрд░реНрддрд┐ рдЧрд░рд┐рдПрдХреЛ рдШрдбреА рдкрд▓реНрд╕рд▓рд╛рдИ рдЕрдиреБрдХреВрд▓рди рдЧрд░реНрдирдХреЛ рд▓рд╛рдЧрд┐ рдЙрдкрдпреЛрдЧрд┐рддрд╛ред рд╕рдорд╛рди рдврд┐рд▓рд╛рдЗ рд╕рдВрдЧ рдпрдиреНрддреНрд░ рдХреЛ рд╕рдмреИ рднрд╛рдЧрд╣рд░реБ рд▓рд╛рдИ рд░реВрдЯ рдШрдбреА рд╕рдВрдХреЗрддрд╣рд░реБ рдорд╛ рдорджреНрджрдд рдЧрд░реНрдЫред рд╕рдЮреНрдЪрд╛рд▓рди рд╕рд┐рджреНрдзрд╛рдиреНрдд рдорд╛ рдЖрдзрд╛рд░рд┐рдд рдЫ H- рд░реБрдЦрд╣рд░реВред рдпреЛ рджреГрд╖реНрдЯрд┐рдХреЛрдг рдЙрдареНрдЫ рдкрд░рдореНрдкрд░рд╛рдЧрдд рд╡рд┐рдзрд┐рд╣рд░реВрдХреЛ рддреБрд▓рдирд╛рдорд╛ 30% рджреНрд╡рд╛рд░рд╛ рд╕рд┐рдЧреНрдирд▓ рд╡рд┐рддрд░рдг рджрдХреНрд╖рддрд╛ред рд╡рд┐рдХрд╛рд╕рдХрд░реНрддрд╛рд╣рд░реВ рднрдиреНрдЫрдиреН рдХрд┐ рднрд╡рд┐рд╖реНрдпрдорд╛ рдпреЛ рд╕рдВрдЦреНрдпрд╛ 56% рдорд╛ рд╡реГрджреНрдзрд┐ рдЧрд░реНрди рд╕рдХрд┐рдиреНрдЫред TritonCTS рд╕реНрд░реЛрдд рдХреЛрдб рд░ рд╕реНрдХреНрд░рд┐рдкреНрдЯрд╣рд░реВ рдЙрдкрд▓рдмреНрдз рдЫрдиреН GitHub рдорд╛.

OpenSTA - рд╕реНрдерд┐рд░ рд╕рдордп рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдХреЛ рд▓рд╛рдЧреА рдПрдХ рдЗрдиреНрдЬрд┐рдиред рдпрд╕рд▓реЗ рдбрд┐рдЬрд╛рдЗрдирд░рд▓рд╛рдИ рдЪрд┐рдк рд╡рд╛рд╕реНрддрд╡рдорд╛ рднреЗрд▓рд╛ рд╣реБрдиреБ рдЕрдШрд┐ рдпрд╕рдХреЛ рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рдЬрд╛рдБрдЪ рдЧрд░реНрдиреЗ рдЕрд╡рд╕рд░ рджрд┐рдиреНрдЫред OpenSTA рдорд╛ рдЙрджрд╛рд╣рд░рдг рдХреЛрдб рдЬрд╕реНрддреИ рджреЗрдЦрд┐рдиреНрдЫ рдпреЛ рдЬрд╕реНрддреЛред

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

рдЙрдкрдпреЛрдЧрд┐рддрд╛рд▓реЗ Verilog рдХреЛрдб, рд▓рд┐рдмрд░реНрдЯреА рдврд╛рдБрдЪрд╛ рдкреБрд╕реНрддрдХрд╛рд▓рдпрд╣рд░реВ, SDC рдлрд╛рдЗрд▓рд╣рд░реВ, рдЖрджрд┐ рдХреЛ рдиреЗрдЯрд▓рд┐рд╕реНрдЯ рд╡рд┐рд╡рд░рдг рд╕рдорд░реНрдерди рдЧрд░реНрджрдЫред

рд▓рд╛рдн рд░ рд╣рд╛рдирд┐

рдЖрдИрдмреАрдПрдо рд░ рдЖрдИрдИрдИрдИрдХрд╛ рд╡рд┐рд╢реЗрд╖рдЬреНрдЮрд╣рд░реВ рдордирд╛рдЙрдиреБрдХрд┐ рдХреНрд▓рд╛рдЙрдб рдЯреЗрдХреНрдиреЛрд▓реЛрдЬреАрд╣рд░реВ рд░ рдореЗрд╕рд┐рди рд▓рд░реНрдирд┐рдЩ рдЪрд┐рдк рдЙрддреНрдкрд╛рджрдирдорд╛ рдкреНрд░рдпреЛрдЧрдХреЛ рд▓рд╛рдЧрд┐ рд▓рд╛рдореЛ рд╕рдордпрджреЗрдЦрд┐ рдмрд╛рдБрдХреА рдЫрдиреНред рдЙрдиреАрд╣рд░реБрдХреЛ рд╡рд┐рдЪрд╛рд░рдорд╛ DARPA рдкрд░рд┐рдпреЛрдЬрдирд╛ рдпрд╕ рдЕрд╡рдзрд╛рд░рдгрд╛рдХреЛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрдирдХреЛ рд╕рдлрд▓ рдЙрджрд╛рд╣рд░рдг рдмрдиреНрди рд╕рдХреНрдЫ рд░рд╛рдЦреНрдиреЗрдЫ рдЙрджреНрдпреЛрдЧ рдорд╛ рдкрд░рд┐рд╡рд░реНрддрди рдХреЛ рд╢реБрд░реБрд╡рд╛рддред

рдпреЛ рдкрдирд┐ рдЖрд╢рд╛ рдЧрд░рд┐рдиреНрдЫ рдХрд┐ OpenROAD рдХреЛ рдЦреБрд▓рд╛ рдкреНрд░рдХреГрддрд┐рд▓реЗ рдЙрдкрдХрд░рдг рд╡рд░рд┐рдкрд░рд┐ рдПрдХ рд╢рдХреНрддрд┐рд╢рд╛рд▓реА рд╕рдореБрджрд╛рдп рд╕рд┐рд░реНрдЬрдирд╛ рдЧрд░реНрдиреЗрдЫ рд░ рдирдпрд╛рдБ рд╕реНрдЯрд╛рд░реНрдЯрдЕрдкрд╣рд░реВрд▓рд╛рдИ рдЖрдХрд░реНрд╖рд┐рдд рдЧрд░реНрдиреЗрдЫред

рдЫрд▓рдлрд▓: OpenROAD рдкрд░рд┐рдпреЛрдЬрдирд╛рд▓реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЬрд╛рдЗрдирдХреЛ рд╕реНрд╡рдЪрд╛рд▓рдирдХреЛ рд╕рдорд╕реНрдпрд╛ рд╕рдорд╛рдзрд╛рди рдЧрд░реНрди рдЪрд╛рд╣рдиреНрдЫ
╨д╨╛╤В╨╛ - Pexels - CC BY

рддреНрдпрд╣рд╛рдБ рдкрд╣рд┐рд▓реЗ рдиреИ рд╕рд╣рднрд╛рдЧреАрд╣рд░реВ рдЫрдиреН - рдорд┐рд╢рд┐рдЧрди рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдпрдорд╛ рдЖрдзрд╛рд░рд┐рдд рдЪрд┐рдкреНрд╕ рд╡рд┐рдХрд╛рд╕ рдЧрд░реНрдиреЗ рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛, рдкрд╣рд┐рд▓реЛ рд╣реБрдиреЗрдЫ, рдЬрд╕рд▓реЗ OpenROAD рдЦреБрд▓рд╛ рд╕реНрд░реЛрдд рдЙрдкрдХрд░рдгрд╣рд░реВрдХреЛ рдкрд░реАрдХреНрд╖рдг рдЧрд░реНрдиреЗрдЫред рддрд░ рдпреЛ рдЕрдЭреИ рдерд╛рд╣рд╛ рдЫреИрди рдХрд┐ рдирдпрд╛рдБ рд╕рдорд╛рдзрд╛рдирд╣рд░реВрд▓реЗ рдЕрдиреНрддрд┐рдо рдЙрддреНрдкрд╛рджрдирд╣рд░реВрдХреЛ рд▓рд╛рдЧрддрдорд╛ рдЙрд▓реНрд▓реЗрдЦрдиреАрдп рдкреНрд░рднрд╛рд╡ рдкрд╛рд░реНрди рд╕рдХреНрд╖рдо рд╣реБрдиреЗрдЫрдиреНред

рд╕рдордЧреНрд░рдорд╛, DARPA рдХреЛ рдиреЗрддреГрддреНрд╡рдорд╛ рд╡рд┐рдХрд╕рд┐рдд рдЧрд░рд┐рдПрдХрд╛ рдЙрдкрдХрд░рдгрд╣рд░реВрд▓реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдЙрджреНрдпреЛрдЧрдорд╛ рд╕рдХрд╛рд░рд╛рддреНрдордХ рдкреНрд░рднрд╛рд╡ рдкрд╛рд░реНрдиреЗ рдЕрдкреЗрдХреНрд╖рд╛ рдЧрд░рд┐рдПрдХреЛ рдЫ, рд░ рдпрд╕ рдХреНрд╖реЗрддреНрд░рдорд╛ рдердк рдирдпрд╛рдБ рдкрд░рд┐рдпреЛрдЬрдирд╛рд╣рд░реВ рджреЗрдЦрд╛ рдкрд░реНрди рдерд╛рд▓реНрдиреЗрдЫрдиреНред рдПрдХ рдЙрджрд╛рд╣рд░рдг рдПрдХ рдЙрдкрдХрд░рдг рд╣реБрдиреЗрдЫ рдЧреЗрдбрд╛ - рдпрд╕рд▓реЗ рддрдкрд╛рдИрдВрд▓рд╛рдИ рдЕрд╕реАрдорд┐рдд рд╕рдВрдЦреНрдпрд╛рдХреЛ рдХрдореНрдкреЛрдиреЗрдиреНрдЯрд╣рд░реВрд╕рдБрдЧ рдЪрд┐рдкрд╣рд░реВ рдбрд┐рдЬрд╛рдЗрди рдЧрд░реНрди рдЕрдиреБрдорддрд┐ рджрд┐рдиреНрдЫред gEDA рд▓реЗ рдорд╛рдЗрдХреНрд░реЛрд╕рд░реНрдХрд┐рдЯ рд░ рдмреЛрд░реНрдб рд░рд╛рдЙрдЯрд┐рдЩ рд╕рдореНрдкрд╛рджрди рд░ рдореЛрдбрд▓рд┐рдЩрдХрд╛ рд▓рд╛рдЧрд┐ рдЙрдкрдпреЛрдЧрд┐рддрд╛рд╣рд░реВ рд╕рдорд╛рд╡реЗрд╢ рдЧрд░реНрджрдЫред рдпреЛ рд╕рдорд╛рдзрд╛рди UNIX рдкреНрд▓реЗрдЯрдлрд░реНрдорд╣рд░реВрдХреЛ рд▓рд╛рдЧрд┐ рд╡рд┐рдХрд╕рд┐рдд рдЧрд░рд┐рдПрдХреЛ рдерд┐рдпреЛ, рддрд░ рдпрд╕рдХрд╛ рдХрдореНрдкреЛрдиреЗрдиреНрдЯрд╣рд░реВ рдкрдирд┐ Windows рдЕрдиреНрддрд░реНрдЧрдд рдХрд╛рдо рдЧрд░реНрдЫрдиреНред рддрд┐рдиреАрд╣рд░реВрд╕рдБрдЧ рдХрд╛рдо рдЧрд░реНрдиреЗ рдЧрд╛рдЗрдб рдлреЗрд▓рд╛ рдкрд╛рд░реНрди рд╕рдХрд┐рдиреНрдЫ рдкрд░рд┐рдпреЛрдЬрдирд╛ рд╡реЗрдмрд╕рд╛рдЗрдЯ рдорд╛ рдХрд╛рдЧрдЬрд╛рдд рдорд╛.

рд╕реНрд╡рддрдиреНрддреНрд░ рд░реВрдкрдорд╛ рдЙрдкрд▓рдмреНрдз рдЙрдкрдХрд░рдгрд╣рд░реВрд▓реЗ рд╕реНрд╡рддрдиреНрддреНрд░ рд╕рдВрд╕реНрдерд╛рд╣рд░реВ рд░ рд╕реНрдЯрд╛рд░реНрдЯрдЕрдкрд╣рд░реВрд▓рд╛рдИ рдердк рд╡рд┐рдХрд▓реНрдкрд╣рд░реВ рджрд┐рдиреНрдЫред рдпреЛ рд╕рдореНрднрд╡ рдЫ рдХрд┐ рд╕рдордпрдХреЛ рд╕рд╛рде, EDA рдЙрдкрдХрд░рдг рд╡рд┐рдХрд╛рд╕ рд░ рдЪрд┐рдк рдбрд┐рдЬрд╛рдЗрди рдХреЛ рд▓рд╛рдЧреА OpenROAD рдХреЛ рдирдпрд╛рдБ рджреГрд╖реНрдЯрд┐рдХреЛрдг рдПрдХ рдЙрджреНрдпреЛрдЧ рдорд╛рдирдХ рдмрдиреНрди рд╕рдХреНрдЫред

рд╣рд╛рдореАрд▓реЗ рд╣рд╛рдореНрд░реЛ рдХрд░реНрдкреЛрд░реЗрдЯ рдмреНрд▓рдЧрдорд╛ рдХреЗ рд▓реЗрдЦреНрдЫреМрдВ:

рд╕реНрд░реЛрдд: www.habr.com

рдПрдХ рдЯрд┐рдкреНрдкрдгреА рдердкреНрди