рдЦреБрд▓рд╛ рд╕реНрд░реЛрдд FPGA рдкрд╣рд▓

рдХреНрд╖реЗрддреНрд░ рдкреНрд░реЛрдЧреНрд░рд╛рдореЗрдмрд▓ рдЧреЗрдЯ рдПрд░реЗрдХреЛ рдкреНрд░рдпреЛрдЧрд╕рдБрдЧ рд╕рдореНрдмрдиреНрдзрд┐рдд рдЦреБрд▓рд╛ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд░ рд╕рдлреНрдЯрд╡реЗрдпрд░ рд╕рдорд╛рдзрд╛рдирд╣рд░реВрдХреЛ рд╕рд╣рдпреЛрдЧреА рд╡рд┐рдХрд╛рд╕рдХреЛ рд▓рд╛рдЧрд┐ рд╡рд┐рдХрд╛рд╕, рдкреНрд░рд╡рд░реНрджреНрдзрди рд░ рд╡рд╛рддрд╛рд╡рд░рдг рд╕рд┐рд░реНрдЬрдирд╛ рдЧрд░реНрдиреЗ рдЙрджреНрджреЗрд╢реНрдпрд▓реЗ рдирдпрд╛рдБ рдЧреИрд░-рд▓рд╛рднрдХрд╛рд░реА рд╕рдВрд╕реНрдерд╛, рдЦреБрд▓рд╛ рд╕реНрд░реЛрдд FPGA рдлрд╛рдЙрдиреНрдбреЗрд╕рди (OSFPGA) рдХреЛ рдЧрдардирдХреЛ рдШреЛрд╖рдгрд╛ рдЧрд░реЗрдХреЛ рдЫред FPGA) рдПрдХреАрдХреГрдд рд╕рд░реНрдХрд┐рдЯрд╣рд░реВ рдЬрд╕рд▓реЗ рдЪрд┐рдк рдирд┐рд░реНрдорд╛рдг рдкрдЫрд┐ рдкреБрди: рдкреНрд░реЛрдЧреНрд░рд╛рдордпреЛрдЧреНрдп рддрд░реНрдХ рдХрд╛рд░реНрдп рдЧрд░реНрди рдЕрдиреБрдорддрд┐ рджрд┐рдиреНрдЫред рддреНрдпрд╕реНрддрд╛ рдЪрд┐рдкрд╣рд░реВрдорд╛ рдХреБрдЮреНрдЬреА рдмрд╛рдЗрдирд░реА рдЕрдкрд░реЗрд╕рдирд╣рд░реВ (AND, NAND, OR, NOR рд░ XOR) рддрд░реНрдХ рдЧреЗрдЯрд╣рд░реВ (рд╕реНрд╡рд┐рдЪрд╣рд░реВ) рдкреНрд░рдпреЛрдЧ рдЧрд░реА рд▓рд╛рдЧреВ рдЧрд░рд┐рдиреНрдЫ рдЬрд╕рдорд╛ рдмрд╣реБ рдЗрдирдкреБрдЯрд╣рд░реВ рд░ рдПрдЙрдЯрд╛ рдЖрдЙрдЯрдкреБрдЯ рд╣реБрдиреНрдЫ, рд╕рдлреНрдЯрд╡реЗрдпрд░рджреНрд╡рд╛рд░рд╛ рдкрд░рд┐рд╡рд░реНрддрди рдЧрд░реНрди рд╕рдХрд┐рдиреЗ рдЬрдбрд╛рдирд╣рд░реВрдХреЛ рдХрдиреНрдлрд┐рдЧрд░реЗрд╕рдиред

OSFPGA рдХрд╛ рд╕рдВрд╕реНрдерд╛рдкрдХ рд╕рджрд╕реНрдпрд╣рд░реВрд▓реЗ рдХрдореНрдкрдиреАрд╣рд░реВ рд░ рдкрд░рд┐рдпреЛрдЬрдирд╛рд╣рд░реВ рдЬрд╕реНрддреИ EPFL, QuickLogic, Zero ASIC, рд░ GSG рд╕рдореВрд╣рдХрд╛ рдХреЗрд╣реА рдкреНрд░рдореБрдЦ FPGA рдкреНрд░рд╡рд┐рдзрд┐ рдЕрдиреБрд╕рдиреНрдзрд╛рдирдХрд░реНрддрд╛рд╣рд░реВ рд╕рдорд╛рд╡реЗрд╢ рдЫрдиреНред рдирдпрд╛рдБ рд╕рдВрдЧрдардирдХреЛ рд╕рдВрд░рдХреНрд╖рдг рдЕрдиреНрддрд░реНрдЧрдд, FPGA рдЪрд┐рдкреНрд╕ рд░ рдЗрд▓реЗрдХреНрдЯреНрд░реЛрдирд┐рдХ рдбрд┐рдЬрд╛рдЗрди рд╕реНрд╡рдЪрд╛рд▓рди (EDA) рдХреЛ рд▓рд╛рдЧрд┐ рд╕рдорд░реНрдердирдорд╛ рдЖрдзрд╛рд░рд┐рдд рджреНрд░реБрдд рдкреНрд░реЛрдЯреЛрдЯрд╛рдЗрдкрдХреЛ рд▓рд╛рдЧрд┐ рдЦреБрд▓рд╛ рд░ рдирд┐: рд╢реБрд▓реНрдХ рдЙрдкрдХрд░рдгрд╣рд░реВрдХреЛ рд╕реЗрдЯ рд╡рд┐рдХрд╕рд┐рдд рдЧрд░рд┐рдиреЗрдЫред рд╕рдВрдЧрдардирд▓реЗ FPGA рд╕рдореНрдмрдиреНрдзреА рдЦреБрд▓рд╛ рдорд╛рдкрджрдгреНрдбрд╣рд░реВрдХреЛ рд╕рдВрдпреБрдХреНрдд рд╡рд┐рдХрд╛рд╕рдХреЛ рдкрдирд┐ рдирд┐рд░реАрдХреНрд╖рдг рдЧрд░реНрдиреЗрдЫ, рдХрдореНрдкрдиреАрд╣рд░реВрд▓рд╛рдИ рдЕрдиреБрднрд╡ рд░ рдкреНрд░рд╡рд┐рдзрд┐рд╣рд░реВ рд╕рд╛рдЭрд╛ рдЧрд░реНрди рддрдЯрд╕реНрде рдлреЛрд░рдо рдкреНрд░рджрд╛рди рдЧрд░реНрджрдЫред

рдпреЛ рдЖрд╢рд╛ рдЧрд░рд┐рдПрдХреЛ рдЫ рдХрд┐ OSFPGA рд▓реЗ рдЪрд┐рдк рдХрдореНрдкрдиреАрд╣рд░реВрд▓рд╛рдИ FPGAs рдЙрддреНрдкрд╛рджрдирдорд╛ рд╕рдВрд▓рдЧреНрди рдХреЗрд╣реА рдИрдиреНрдЬрд┐рдирд┐рдпрд░рд┐рдЩреН рдкреНрд░рдХреНрд░рд┐рдпрд╛рд╣рд░реВ рд╣рдЯрд╛рдЙрди, рдЕрдиреНрддрд┐рдо-рдкреНрд░рдпреЛрдЧрдХрд░реНрддрд╛ рд╡рд┐рдХрд╛рд╕рдХрд░реНрддрд╛рд╣рд░реВрд▓рд╛рдИ рд░реЗрдбрд┐рдореЗрдб, рдХрд╕реНрдЯрдо FPGA рд╕рдлреНрдЯрд╡реЗрдпрд░ рд╕реНрдЯреНрдпрд╛рдХ рдкреНрд░рджрд╛рди рдЧрд░реНрди, рд░ рдирдпрд╛рдБ рдЙрдЪреНрдЪ-рдЧреБрдгрд╕реНрддрд░рдХреЛ рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░рд╣рд░реВ рд╕рд┐рд░реНрдЬрдирд╛ рдЧрд░реНрди рд╕рд╣рдпреЛрдЧ рд╕рдХреНрд╖рдо рдкрд╛рд░реНрдиреЗрдЫред рдпреЛ рдиреЛрдЯ рдЧрд░рд┐рдПрдХреЛ рдЫ рдХрд┐ OSFPGA рджреНрд╡рд╛рд░рд╛ рдЙрдкрд▓рдмреНрдз рдЧрд░рд╛рдЗрдПрдХрд╛ рдЦреБрд▓реНрд▓рд╛ рдЙрдкрдХрд░рдгрд╣рд░реВрд▓рд╛рдИ рдЧреБрдгрд╕реНрддрд░рдХреЛ рдЙрдЪреНрдЪрддрдо рд╕реНрддрд░рдорд╛ рд░рд╛рдЦрд┐рдиреЗрдЫ, рдЙрджреНрдпреЛрдЧ рдорд╛рдкрджрдгреНрдбрд╣рд░реВ рдкреВрд░рд╛ рдЧрд░реНрдиреЗ рд╡рд╛ рдирд╛рдШреЗрдХреЛ рдЫред

рдЦреБрд▓рд╛ рд╕реНрд░реЛрдд FPGA рдлрд╛рдЙрдиреНрдбреЗрд╕рдирдХрд╛ рдореБрдЦреНрдп рд▓рдХреНрд╖реНрдпрд╣рд░реВ рд╣реБрдиреН:

  • FPGA рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд░ рд╕рдлреНрдЯрд╡реЗрдпрд░рд╕рдБрдЧ рд╕рдореНрдмрдиреНрдзрд┐рдд рдЙрдкрдХрд░рдгрд╣рд░реВрдХреЛ рд╕реЗрдЯ рд╡рд┐рдХрд╛рд╕ рдЧрд░реНрди рд╕реНрд░реЛрдд рд░ рдкреВрд░реНрд╡рд╛рдзрд╛рд░ рдкреНрд░рджрд╛рди рдЧрд░реНрджреИред
  • рд╡рд┐рднрд┐рдиреНрди рдХрд╛рд░реНрдпрдХреНрд░рдорд╣рд░реВ рдорд╛рд░реНрдлрдд рдпреА рдЙрдкрдХрд░рдгрд╣рд░реВрдХреЛ рдкреНрд░рдпреЛрдЧрд▓рд╛рдИ рдмрдврд╛рд╡рд╛ рджрд┐рдБрджреИред
  • рдЙрдиреНрдирдд FPGA рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░, рд╕рд╛рдереИ рд╕рдореНрдмрдиреНрдзрд┐рдд рд╕рдлреНрдЯрд╡реЗрдпрд░ рд░ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╡рд┐рдХрд╛рд╕рд╣рд░реВрдХреЛ рдЕрдиреБрд╕рдиреНрдзрд╛рдирдХреЛ рд▓рд╛рдЧрд┐ рдЙрдкрдХрд░рдгрд╣рд░реВрдХреЛ рд╕рдорд░реНрдерди, рд╡рд┐рдХрд╛рд╕ рд░ рдЦреБрд▓рд╛рдкрди рдкреНрд░рджрд╛рди рдЧрд░реНрдиреБрд╣реЛрд╕реНред
  • рд╕рд╛рд░реНрд╡рдЬрдирд┐рдХ рд░реВрдкрдорд╛ рдЙрдкрд▓рдмреНрдз FPGA рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░рд╣рд░реВ, рдбрд┐рдЬрд╛рдЗрди рдкреНрд░рд╡рд┐рдзрд┐рд╣рд░реВ, рд░ рдкреНрд░рдХрд╛рд╢рдирд╣рд░реВ рд░ рдореНрдпрд╛рдж рд╕рдХрд┐рдПрдХреЛ рдкреЗрдЯреЗрдиреНрдЯ рдЦреБрд▓рд╛рд╕рд╛рд╣рд░реВрдмрд╛рдЯ рд╡реНрдпреБрддреНрдкрдиреНрди рдмреЛрд░реНрдб рдбрд┐рдЬрд╛рдЗрдирд╣рд░реВрдХреЛ рд╕реВрдЪреА рдХрд╛рдпрдо рдЧрд░реНрджреИред
  • рдЗрдЪреНрдЫреБрдХ рд╡рд┐рдХрд╛рд╕рдХрд░реНрддрд╛рд╣рд░реВрдХреЛ рд╕рдореБрджрд╛рдп рдирд┐рд░реНрдорд╛рдг рдЧрд░реНрди рдорджреНрджрдд рдЧрд░реНрдирдХреЛ рд▓рд╛рдЧрд┐ рдкреНрд░рд╢рд┐рдХреНрд╖рдг рд╕рд╛рдордЧреНрд░реАрд╣рд░реВ рддрдпрд╛рд░ рдЧрд░реНрдиреБрд╣реЛрд╕реН рд░ рдкрд╣реБрдБрдЪ рдкреНрд░рджрд╛рди рдЧрд░реНрдиреБрд╣реЛрд╕реНред
  • рдирдпрд╛рдБ FPGA рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рд░ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░рдХреЛ рдкрд░реАрдХреНрд╖рдг рд░ рдкреНрд░рдорд╛рдгреАрдХрд░рдг рдЧрд░реНрди рд▓рд╛рдЧрдд рд░ рд╕рдордп рдХрдо рдЧрд░реНрди рдЪрд┐рдк рдирд┐рд░реНрдорд╛рддрд╛рд╣рд░реВрд╕рдБрдЧрдХреЛ рд╕рд╣рдХрд╛рд░реНрдпрд▓рд╛рдИ рд╕рд░рд▓ рдмрдирд╛рдЙрдиреБрд╣реЛрд╕реНред

рд╕рдореНрдмрдиреНрдзрд┐рдд рдЦреБрд▓рд╛ рд╕реНрд░реЛрдд рдЙрдкрдХрд░рдгрд╣рд░реВ:

  • OpenFPGA FPGA рд╣рд░реВрдХреЛ рд▓рд╛рдЧрд┐ рдЗрд▓реЗрдХреНрдЯреНрд░реЛрдирд┐рдХ рдбрд┐рдЬрд╛рдЗрди рд╕реНрд╡рдЪрд╛рд▓рди (EDA) рдХрд┐рдЯ рд╣реЛ рдЬрд╕рд▓реЗ Verilog рд╡рд┐рд╡рд░рдгрд╣рд░реВрдорд╛ рдЖрдзрд╛рд░рд┐рдд рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдЙрддреНрдкрд╛рджрдирд▓рд╛рдИ рд╕рдорд░реНрдерди рдЧрд░реНрджрдЫред
  • 1st CLaaS рдПрдЙрдЯрд╛ рдлреНрд░реЗрдорд╡рд░реНрдХ рд╣реЛ рдЬрд╕рд▓реЗ рддрдкрд╛рдИрдВрд▓рд╛рдИ рд╡реЗрдм рд░ рдХреНрд▓рд╛рдЙрдб рдЕрдиреБрдкреНрд░рдпреЛрдЧрд╣рд░реВрдХреЛ рд▓рд╛рдЧрд┐ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдПрдХреНрд╕реЗрд▓реЗрд░реЗрдЯрд░рд╣рд░реВ рд╕рд┐рд░реНрдЬрдирд╛ рдЧрд░реНрди FPGAs рдкреНрд░рдпреЛрдЧ рдЧрд░реНрди рдЕрдиреБрдорддрд┐ рджрд┐рдиреНрдЫред
  • Verilog-to-Routing (VTR) рдПрдЙрдЯрд╛ рдЯреБрд▓рдХрд┐рдЯ рд╣реЛ рдЬрд╕рд▓реЗ рддрдкрд╛рдИрдВрд▓рд╛рдИ рднреЗрд░рд┐рд▓реЛрдЧ рднрд╛рд╖рд╛рдорд╛ рд╡рд░реНрдгрдирдХреЛ рдЖрдзрд╛рд░рдорд╛ рдЪрдпрди рдЧрд░рд┐рдПрдХреЛ FPGA рдХреЛ рдХрдиреНрдлрд┐рдЧрд░реЗрд╕рди рд╕рд┐рд░реНрдЬрдирд╛ рдЧрд░реНрди рдЕрдиреБрдорддрд┐ рджрд┐рдиреНрдЫред
  • Symbiflow Xilinx 7, Lattice iCE40, Lattice ECP5 рд░ QuickLogic EOS S3 FPGAs рдорд╛ рдЖрдзрд╛рд░рд┐рдд рд╕рдорд╛рдзрд╛рдирд╣рд░реВ рд╡рд┐рдХрд╛рд╕ рдЧрд░реНрдирдХреЛ рд▓рд╛рдЧрд┐ рдПрдЙрдЯрд╛ рдЯреБрд▓рдХрд┐рдЯ рд╣реЛред
  • Yosys рд╕рд╛рдзрд╛рд░рдг рдЕрдиреБрдкреНрд░рдпреЛрдЧрд╣рд░реВрдХреЛ рд▓рд╛рдЧрд┐ Verilog RTL рд╕рдВрд╢реНрд▓реЗрд╖рдг рдлреНрд░реЗрдорд╡рд░реНрдХ рд╣реЛред
  • EPFL рддрд░реНрдХ рд╕рдВрд╢реНрд▓реЗрд╖рдг рдЕрдиреБрдкреНрд░рдпреЛрдЧрд╣рд░реВ рд╡рд┐рдХрд╛рд╕ рдЧрд░реНрди рдкреБрд╕реНрддрдХрд╛рд▓рдпрд╣рд░реВрдХреЛ рд╕рдВрдЧреНрд░рд╣ рд╣реЛред
  • LSOracle рддрд░реНрдХ рд╕рдВрд╢реНрд▓реЗрд╖рдг рдкрд░рд┐рдгрд╛рдорд╣рд░реВ рдЕрдиреБрдХреВрд▓рди рдЧрд░реНрди EPFL рдкреБрд╕реНрддрдХрд╛рд▓рдпрд╣рд░реВрдорд╛ рдПрдХ рдПрдб-рдЕрди рд╣реЛред
  • Edalize рдЗрд▓реЗрдХреНрдЯреНрд░реЛрдирд┐рдХ рдбрд┐рдЬрд╛рдЗрди рд╕реНрд╡рдЪрд╛рд▓рди (EDA) рдкреНрд░рдгрд╛рд▓реАрд╣рд░реВрд╕рдБрдЧ рдЕрдиреНрддрд░рдХреНрд░рд┐рдпрд╛ рдЧрд░реНрди рд░ рддрд┐рдиреАрд╣рд░реВрдХрд╛ рд▓рд╛рдЧрд┐ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдлрд╛рдЗрд▓рд╣рд░реВ рдЙрддреНрдкрдиреНрди рдЧрд░реНрдирдХреЛ рд▓рд╛рдЧрд┐ рдкрд╛рдЗрдерди рдЯреБрд▓рдХрд┐рдЯ рд╣реЛред
  • GHDL VHDL рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╡рд░реНрдгрди рднрд╛рд╖рд╛рдХреЛ рд▓рд╛рдЧрд┐ рдХрдореНрдкрд╛рдЗрд▓рд░, рд╡рд┐рд╢реНрд▓реЗрд╖рдХ, рд╕рд┐рдореНрдпреБрд▓реЗрдЯрд░, рд░ рд╕рд┐рдиреНрдереЗрд╕рд╛рдЗрдЬрд░ рд╣реЛред
  • VerilogCreator QtCreator рдХреЛ рд▓рд╛рдЧреА рдПрдХ рдкреНрд▓рдЧрдЗрди рд╣реЛ рдЬрд╕рд▓реЗ рдпрд╕ рдЕрдиреБрдкреНрд░рдпреЛрдЧрд▓рд╛рдИ Verilog 2005 рдорд╛ рд╡рд┐рдХрд╛рд╕ рд╡рд╛рддрд╛рд╡рд░рдгрдорд╛ рдкрд░рд┐рдгрдд рдЧрд░реНрджрдЫред
  • FuseSoC HDL (рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╡рд┐рд╡рд░рдг рднрд╛рд╖рд╛) рдХреЛрдб рд░ FPGA/ASIC рдХреЛ рд▓рд╛рдЧрд┐ рдЕрд╕реЗрдВрдмрд▓реА рдПрдмреНрд╕реНрдЯреНрд░реНрдпрд╛рдХреНрд╢рди рдЙрдкрдпреЛрдЧрд┐рддрд╛рдХреЛ рд▓рд╛рдЧрд┐ рдкреНрдпрд╛рдХреЗрдЬ рдкреНрд░рдмрдиреНрдзрдХ рд╣реЛред
  • SOFA (Skywater Open-source FPGA) Skywater PDK рд░ OpenFPGA рдлреНрд░реЗрдорд╡рд░реНрдХ рдкреНрд░рдпреЛрдЧ рдЧрд░реЗрд░ рд╕рд┐рд░реНрдЬрдирд╛ рдЧрд░рд┐рдПрдХреЛ рдЦреБрд▓рд╛ FPGA IP (рдмреМрджреНрдзрд┐рдХ рд╕рдореНрдкрддреНрддрд┐) рдХреЛ рд╕реЗрдЯ рд╣реЛред
  • openFPGALoader FPGAs рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдЩрдХреЛ рд▓рд╛рдЧрд┐ рдПрдХ рдЙрдкрдпреЛрдЧрд┐рддрд╛ рд╣реЛред
  • LiteDRAM - DRAM рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рд╕рдВрдЧ FPGA рдХреЛ рд▓рд╛рдЧреА рдЕрдиреБрдХреВрд▓рди рдЖрдИрдкреА рдХреЛрд░ред

рдердк рд░реВрдкрдорд╛, рд╣рд╛рдореА Main_MiSTer рдкреНрд░реЛрдЬреЗрдХреНрдЯрд▓рд╛рдИ рдиреЛрдЯ рдЧрд░реНрди рд╕рдХреНрдЫреМрдВ, рдЬрд╕рд▓реЗ рдкреБрд░рд╛рдиреЛ рдЧреЗрдо рдХрдиреНрд╕реЛрд▓ рд░ рдХреНрд▓рд╛рд╕рд┐рдХ рдХрдореНрдкреНрдпреБрдЯрд░рд╣рд░реВрдХреЛ рдЙрдкрдХрд░рдгрд╣рд░реВ рдЕрдиреБрдХрд░рдг рдЧрд░реНрди рдЯрд┐рднреА рд╡рд╛ рдореЛрдирд┐рдЯрд░рдорд╛ рдЬрдбрд╛рди рднрдПрдХреЛ DE10-Nano FPGA рдмреЛрд░реНрдб рдкреНрд░рдпреЛрдЧ рдЧрд░реНрди рдЕрдиреБрдорддрд┐ рджрд┐рдиреНрдЫред рдЪрд▓рд┐рд░рд╣реЗрдХреЛ рдЗрдореБрд▓реЗрдЯрд░рд╣рд░реВрдХреЛ рд╡рд┐рдкрд░реАрдд, FPGA рдХреЛ рдкреНрд░рдпреЛрдЧрд▓реЗ рдореВрд▓ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╡рд╛рддрд╛рд╡рд░рдг рдкреБрди: рд╕рд┐рд░реНрдЬрдирд╛ рдЧрд░реНрди рд╕рдореНрднрд╡ рдмрдирд╛рдЙрдБрдЫ рдЬрд╕рдорд╛ рддрдкрд╛рдИрдВрд▓реЗ рдкреБрд░рд╛рдиреЛ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдкреНрд▓реЗрдЯрдлрд░реНрдорд╣рд░реВрдХреЛ рд▓рд╛рдЧрд┐ рдЕрд╡рд╕реНрдерд┐рдд рдкреНрд░рдгрд╛рд▓реА рдЫрд╡рд┐рд╣рд░реВ рд░ рдЕрдиреБрдкреНрд░рдпреЛрдЧрд╣рд░реВ рдЪрд▓рд╛рдЙрди рд╕рдХреНрдиреБрд╣реБрдиреНрдЫред

рд╕реНрд░реЛрдд: opennet.ru

рдПрдХ рдЯрд┐рдкреНрдкрдгреА рдердкреНрди