bladeRF-wiphy release


bladeRF-wiphy release

BladeRF-wiphy, een open implementatie van een WiFi-modem op VHDL van Nuand, is uitgebracht. wifi werkt aan mesRF 2.0 micro xA9 en ondersteunt zowel de clientmodus als de access point-modus. Het ondersteunt ook de netwerkbewakingsmodus (monitormodus).

De broncode wordt gepubliceerd op GitHub onder de GPL-2.0-licentie.

Bron: linux.org.ru