Author: Pulogalamu ya ProHoster

Zochitika za digito ku Moscow kuyambira Juni 24 mpaka 30

Kusankhidwa kwa zochitika za sabata. Kugulitsa koyamba kunja: ma hacks, milandu ndi zolakwika za oyambitsa June 25 (Lachiwiri) Myasnitskaya 13str18 Free Pa June 25, tidzakambirana za momwe kuyambitsira kwa IT kungayambitsire malonda ake oyambirira pa msika wapadziko lonse ndi kutayika kochepa ndikukopa ndalama kunja. Kukambitsirana kwachilimwe za malonda aakulu mu B2B June 25 (Lachiwiri) Zemlyanoy Val 8 rub. […]

Zotsatira za kuchotsa msanga mano anzeru

Moni kachiwiri! Lero ndikufuna kulemba kachidutswa kakang'ono ndikuyankha funso - "N'chifukwa chiyani kuchotsa mano anzeru ngati sakukuvutitsani?", ndi ndemanga pa mawu - "Abale anga ndi anzanga, abambo / amayi / agogo / agogo / mnansi /mphaka adachotsedwa dzino ndiye kuti zidalakwika. Aliyense anali ndi zovuta ndipo tsopano palibe zochotsa. ” Poyamba, ndikufuna kunena kuti zovuta [...]

Kutulutsidwa kwa woyang'anira mafayilo Pakati pa Usiku Commander 4.8.23

Pambuyo pa miyezi isanu ndi umodzi yachitukuko, woyang'anira fayilo ya console Midnight Commander 4.8.23 watulutsidwa, wogawidwa mu code code pansi pa chilolezo cha GPLv3 +. Mndandanda wa zosintha zazikulu: Kufufutidwa kwa akalozera akulu kwafulumizitsa kwambiri (m'mbuyomu, kufufuta mobwerezabwereza kwa akalozera kunali kocheperako kuposa "rm -rf" popeza fayilo iliyonse idabwerezedwa ndikuchotsedwa padera); Masanjidwe a dialog omwe amawonetsedwa poyesa kulemba fayilo yomwe ilipo akonzedwanso. batani […]

Nkhani yatsopano: Ndemanga za khadi la kanema la GIGABYTE GeForce GTX 1660 Ti GAMING OC: Polaris wagwa, Vega ndiyotsatira

Monga zidadziwika kuchokera kukulankhula kwa AMD ku Computex mu Meyi, ndiyeno pachiwonetsero chamasewera a E3, kale mu Julayi kampaniyo idzatulutsa makadi a kanema pa Navi tchipisi, zomwe, ngakhale samadzinenera kuti ndi mtsogoleri wokwanira pakuchita bwino pakati pa ma accelerators. , iyenera kupikisana ndi gulu lamphamvu la GeForce RTX 2070 la "green" lamphamvu.

Dell, HP, Microsoft ndi Intel amatsutsa mitengo yomwe ikuperekedwa pa laputopu ndi mapiritsi

Dell Technologies, HP, Microsoft ndi Intel Lachitatu adatsutsa lingaliro la Purezidenti wa US a Donald Trump lophatikizira ma laputopu ndi mapiritsi pamndandanda wazinthu zomwe zimatumizidwa kuchokera ku China zomwe zimayenera kutumizidwa kunja. Dell, HP ndi Microsoft, omwe pamodzi amawerengera 52% ya malonda aku US a laputopu ndi mapiritsi okhala ndi ma kiyibodi osokonekera, adati mitengo yomwe ikuyembekezeredwa ikwera […]

Makhadi a kanema osinthidwa a NVIDIA Turing "Super" tsopano ali ndi mitengo yovomerezeka

Malinga ndi zidziwitso zosavomerezeka, mawa NVIDIA ikhoza kuwonetsa banja losinthidwa la makadi amakanema okhala ndi zomangamanga za Turing, zomwe zidzalandira kukumbukira mwachangu, chowonjezera cha "Super" pamatchulidwe achitsanzo, ndipo chofunikira kwambiri, kuphatikiza kokongola kwamitengo ndi magwiridwe antchito. Monga lamulo, pamtengo uliwonse wamtengo wapatali, GPU mu mndandanda wa Super idzabwerekedwa ku makadi akale akale abanja lakale, ndi kuchuluka kwa ma cores omwe akugwira ntchito […]

Kodi tikudziwa chiyani za microservices

Moni! Dzina langa ndi Vadim Madison, ndimatsogolera chitukuko cha Avito System Platform. Zanenedwa kangapo momwe ife mu kampani tikusunthira kuchoka ku zomangamanga za monolithic kupita ku microservices imodzi. Yakwana nthawi yoti tigawane momwe tasinthira zida zathu kuti tipindule ndi ma microservices ndikudziteteza kuti tisasocheretse. Momwe PaaS imathandizira pano, momwe ife […]

Zowopseza zisanu ndi ziwiri kuchokera ku bots kupita patsamba lanu

Kuukira kwa DDoS kumakhalabe imodzi mwamitu yomwe imakambidwa kwambiri pankhani yachitetezo chazidziwitso. Nthawi yomweyo, si aliyense amene akudziwa kuti traffic ya bot, yomwe ndi chida chachitetezo chotere, imakhala ndi zoopsa zina zamabizinesi apaintaneti. Mothandizidwa ndi bots, owukira sangawononge tsamba lawebusayiti, komanso amaba zidziwitso, kupotoza ma metric abizinesi, kuonjezera mtengo wotsatsa, kuwononga mbiri […]

"Kukhala pamwamba" kapena nkhani yanga kuyambira pakuzengereza mpaka kudzikuza

Hello Bwenzi. Lero sitilankhula za zovuta komanso zovuta kwambiri za zilankhulo zamapulogalamu kapena mtundu wina wa Rocket Science. Lero ndikuwuzani nkhani yaifupi ya momwe ndinatengera njira ya wopanga mapulogalamu. Iyi ndi nkhani yanga ndipo simungathe kuyisintha, koma ngati ithandiza munthu m'modzi kukhala wolimba mtima, ndiye kuti […]

Maseŵera 2.9.2

Mastodon ndi "Twitter yokhazikika." Ma Microblogs amwazikana pamaseva ambiri odziyimira pawokha olumikizidwa mu netiweki imodzi. Analogi wapafupi kwambiri ndi Imelo yanthawi zonse. Mutha kulembetsa pa seva iliyonse ndikulembetsa ku mauthenga ochokera kwa ogwiritsa ntchito ma seva ena aliwonse. Zosintha (kuyambira v2.9.0) Ntchito Yatsopano Yowonjezera API kuti ikhale yoyenera. Anawonjezera zomvera. Adawonjezedwa mwachidule ndi approval_required ku njira ya GET […]

Kutulutsidwa kwa GNU APL 1.8

Pambuyo pa chitukuko cha zaka zopitirira ziwiri, GNU Project yatulutsa GNU APL 1.8, womasulira chinenero chakale kwambiri, APL, chomwe chimakwaniritsa zofunikira za ISO 13751 ("Programming Language APL, Extended"). Chilankhulo cha APL chimakonzedwa kuti chizigwira ntchito ndi magulu osungidwa mosasamala ndipo chimathandizira manambala ovuta, zomwe zimapangitsa kuti zikhale zodziwika powerengera zasayansi ndi kukonza deta. […]

Kumanga kwatsopano kwa Slackware kwakonzedwa ngati gawo la polojekiti ya TinyWare

Zomanga za projekiti ya TinyWare zakonzedwa, kutengera mtundu wa 32-bit wa Slackware-Current ndikutumizidwa ndi mitundu ya 32- ndi 64-bit ya Linux 4.19 kernel. Kukula kwa chithunzi cha iso ndi 800 MB. Zosintha zazikulu poyerekeza ndi Slackware yoyambirira: Kuyika pamagawo anayi "/", "/ boot", "/ var" ndi "/home". Magawo a "/" ndi "/ boot" amayikidwa mumayendedwe owerengera okha, pomwe magawo a "/ home" ndi "/ var" amayikidwa mu […]