Author: Pulogalamu ya ProHoster

Adidas ndi Zound Industries abweretsa mndandanda watsopano wa mahedifoni opanda zingwe kwa okonda masewera

Adidas ndi Swedish audio audio Zound Industries, amene amapanga zipangizo pansi Urbanears ndi Marshall Headphones brand, yalengeza mndandanda watsopano wa Adidas Sport mahedifoni. Mndandandawu umaphatikizapo FWD-01 opanda zingwe zomverera m'makutu, zomwe zingagwiritsidwe ntchito pothamanga komanso pochita masewera olimbitsa thupi, ndi RPT-01 yamakutu opanda zingwe opanda zingwe. Monga zinthu zina zambiri zamasewera, zinthu zatsopano zidapangidwa […]

Mlandu wa Patent motsutsana ndi GNOME Foundation

GNOME Foundation yalengeza za kuyambika kwa milandu pamilandu ya patent. Wotsutsa anali Rothschild Patent Imaging LLC. Mutu wamkangano ndikuphwanya patent 9,936,086 mu manejala wa chithunzi cha Shotwell. Patent yomwe ili pamwambayi kuyambira 2008 ikufotokoza njira yolumikizira popanda zingwe chida chojambulira zithunzi (foni, kamera yapaintaneti) ku chipangizo cholandirira zithunzi (PC) kenako ndikusamutsa zithunzi zosefedwa potengera tsiku, […]

Zimbra Open-Source Edition ndi siginecha yokha m'malembo

Siginecha yokha mu maimelo mwina ndi imodzi mwazinthu zomwe zimagwiritsidwa ntchito kwambiri ndi mabizinesi. Siginecha yomwe ingasinthidwe kamodzi sikuti imangowonjezera kuchuluka kwa ogwira ntchito ndikuwonjezera malonda, koma nthawi zina kumawonjezera chitetezo chazidziwitso cha kampaniyo komanso kupewa milandu. Mwachitsanzo, mabungwe opereka chithandizo nthawi zambiri amawonjezera zambiri za njira zosiyanasiyana […]

Kutulutsidwa kwa Mesa 19.2.0

Mesa 19.2.0 inatulutsidwa - kukhazikitsa kwaulere kwa OpenGL ndi Vulkan graphics APIs ndi code yotsegula. Kutulutsidwa kwa 19.2.0 kuli ndi mawonekedwe oyesera, ndipo pokhapokha codeyo itakhazikika ndipo mtundu wokhazikika wa 19.2.1 udzatulutsidwa. Mesa 19.2 imathandizira OpenGL 4.5 ya i965, radeonsi ndi madalaivala a nvc0, Vulkan 1.1 pamakhadi a Intel ndi AMD, komanso imathandizira OpenGL […]

Genie

Mlendo - Dikirani, kodi mukuganiza kuti chibadwa sichikupatsani chilichonse? - Inde sichoncho. Chabwino, weruzani nokha. Kodi mukukumbukira kalasi yathu zaka makumi awiri zapitazo? Mbiri inali yosavuta kwa ena, physics kwa ena. Ena anapambana maseŵera a Olimpiki, ena sanapambane. Mwakulingalira kwanu, opambana onse ayenera kukhala ndi nsanja yabwinoko ya majini, ngakhale sizili choncho. - Komabe […]

Intel imakonzekera 144-wosanjikiza QLC NAND ndikupanga zisanu-bit PLC NAND

Lero m'mawa ku Seoul, South Korea, Intel adachita chochitika cha "Memory and Storage Day 2019" choperekedwa ku mapulani amtsogolo mumsika wokumbukira komanso woyendetsa boma. Kumeneko, oimira kampani analankhula za zitsanzo za Optane zamtsogolo, kupita patsogolo kwa chitukuko cha PLC NAND (Penta Level Cell) ndi matekinoloje ena odalirika omwe akukonzekera kulimbikitsa zaka zikubwerazi. Komanso […]

FreeOffice 6.3.2

Document Foundation, bungwe lopanda phindu lodzipereka ku chitukuko ndi chithandizo cha mapulogalamu otseguka, linalengeza kutulutsidwa kwa LibreOffice 6.3.2, kumasulidwa kokonzekera kwa banja la LibreOffice 6.3 "Mwatsopano". Mtundu waposachedwa kwambiri ("Watsopano") ndiwovomerezeka kwa okonda ukadaulo. Lili ndi zatsopano komanso kusintha kwa pulogalamuyi, koma likhoza kukhala ndi zolakwika zomwe zidzakonzedwenso m'tsogolomu. Mtundu wa 6.3.2 umaphatikizapo kukonza zolakwika 49, […]

AMA ndi Habr, #12. Nkhani yophwanyika

Izi ndi momwe zimachitikira nthawi zambiri: timalemba mndandanda wazomwe zachitika pamwezi, ndiyeno mayina a antchito omwe ali okonzeka kuyankha mafunso anu aliwonse. Koma lero padzakhala vuto lophwanyika - ena mwa ogwira nawo ntchito akudwala ndipo achoka, mndandanda wa zosintha zowoneka nthawi ino sizitali kwambiri. Ndipo ndikuyesera kutsiriza kuwerenga zolemba ndi ndemanga ku zolemba za karma, kuipa, [...]

Troldesh mu chigoba chatsopano: funde lina la kutumiza anthu ambiri kachilombo ka ransomware

Kuyambira lero mpaka pano, akatswiri a JSOC CERT alemba kufalitsa koyipa kwa kachilombo ka Troldesh encrypting. Ntchito yake ndi yotakata kuposa ya encryptor: kuwonjezera pa gawo la encryption, imatha kuwongolera patali ndikutsitsa ma module owonjezera. M'mwezi wa Marichi chaka chino, tidadziwitsa kale za mliri wa Troldesh - ndiye kuti kachilomboka kamabisa kutulutsa kwake […]

Mitundu yatsopano ya Wine 4.17, Wine Staging 4.17, Proton 4.11-6 ndi D9VK 0.21

Kutulutsidwa koyeserera kwa kukhazikitsa kotseguka kwa Win32 API kulipo - Wine 4.17. Chiyambireni kutulutsidwa kwa mtundu 4.16, malipoti 14 a cholakwika adatsekedwa ndipo zosintha 274 zapangidwa. Zosintha zofunika kwambiri: injini ya Mono yasinthidwa kukhala 4.9.3; Thandizo lowonjezera pamapangidwe oponderezedwa mumtundu wa DXTn kupita ku d3dx9 (yosamutsidwa kuchokera ku Wine Staging); Mtundu woyamba wa laibulale ya Windows Script runtime (msscript) waperekedwa; MU […]

Momwe mungatsegule ofesi kunja - gawo loyamba. Zachiyani?

Mutu wosuntha thupi lanu lachivundi kuchokera ku dziko lina kupita ku lina ukufufuzidwa, zikuwoneka, kuchokera kumbali zonse. Ena amati nthawi yakwana. Wina akunena kuti oyambawo samamvetsa kalikonse ndipo si nthawi nkomwe. Wina amalemba momwe angagulire buckwheat ku America, ndipo wina amalemba momwe angapezere ntchito ku London ngati mumangodziwa mawu otukwana mu Russian. Komabe, zomwe […]