Author: Pulogalamu ya ProHoster

WD_Black P50: USB Yoyamba Yamakampani 3.2 Gen 2x2 SSD

Western Digital yalengeza zoyendetsa zatsopano zakunja zamakompyuta anu ndi zotonthoza zamasewera pachiwonetsero cha gamescom 2019 ku Cologne (Germany). Mwina chida chosangalatsa kwambiri chinali WD_Black P50 solid-state solution. Ikunenedwa kuti ndi SSD yoyamba yamakampani kukhala ndi mawonekedwe othamanga kwambiri a USB 3.2 Gen 2x2 omwe amapereka kupitilira mpaka 20 Gbps. Chogulitsa chatsopanocho chikupezeka pakusintha [...]

Tsopano mutha kupanga zithunzi za Docker mu werf pogwiritsa ntchito Dockerfile wamba

Kuliko mochedwa kuposa kale. Kapena momwe tidatsala pang'ono kulakwitsa kwambiri posakhala ndi chithandizo cha ma Dockerfiles okhazikika kuti apange zithunzi zamapulogalamu. Tidzakambirana za werf - chida cha GitOps chomwe chimaphatikizana ndi makina aliwonse a CI/CD ndikupereka kasamalidwe ka moyo wonse wa pulogalamuyo, kukulolani: kusonkhanitsa ndi kufalitsa zithunzi, kutumiza mapulogalamu ku Kubernetes, kuchotsa zithunzi zosagwiritsidwa ntchito pogwiritsa ntchito mfundo zapadera. […]

Qualcomm yasaina pangano latsopano ndi LG

Chipmaker Qualcomm adalengeza Lachiwiri mgwirizano watsopano wazaka zisanu zapatent ndi LG Electronics kuti apange, kupanga ndi kugulitsa mafoni a m'manja a 3G, 4G ndi 5G. M'mwezi wa June, LG idati siyingathetse mikangano ndi Qualcomm ndikukonzanso pangano lachilolezo lokhudza kugwiritsa ntchito tchipisi. Chaka chino Qualcomm […]

Flow protocols ngati chida chowunikira chitetezo chamkati mwamaneti

Zikafika pakuwunika chitetezo chamakampani amkati kapena m'madipatimenti, ambiri amalumikizana ndi kuwongolera kutulutsa kwa chidziwitso ndikukhazikitsa mayankho a DLP. Ndipo ngati muyesa kufotokozera funsolo ndikufunsani momwe mumaonera kuukira kwa intaneti yamkati, ndiye kuti yankho lidzakhala, monga lamulo, kutchulidwa kwa machitidwe ozindikira (IDS). Ndipo chomwe chinali […]

Maphunziro a Cisco 200-125 CCNA v3.0. Tsiku 22. Mtundu wachitatu wa CCNA: kupitiriza kuphunzira RIP

Ndanena kale kuti ndikusintha maphunziro anga amakanema ku CCNA v3. Chilichonse chomwe mwaphunzira m'maphunziro am'mbuyomu ndichogwirizana ndi maphunziro atsopanowa. Ngati pakufunika kutero, ndikuphatikizanso mitu yowonjezera m'maphunziro atsopano, kuti mukhale otsimikiza kuti maphunziro athu akugwirizana ndi maphunziro a 200-125 CCNA. Choyamba, tiphunzira kwathunthu mitu ya mayeso oyamba 100-105 ICND1. […]

ShIoTiny: mpweya wabwino m'chipinda chonyowa (chitsanzo cha polojekiti)

Mfundo zazikuluzikulu kapena zomwe nkhaniyi ikunena Tikupitiliza nkhani za ShIoTiny - wowongolera wowoneka bwino wotengera chipangizo cha ESP8266. Nkhaniyi ikufotokoza, pogwiritsa ntchito chitsanzo cha polojekiti yoyendetsera mpweya wabwino m'chipinda chosambira kapena chipinda china chokhala ndi chinyezi chambiri, momwe pulogalamu ya ShIoTiny imamangidwira. Nkhani zam'mbuyomo. ShIoTiny: makina ang'onoang'ono, intaneti ya zinthu kapena "...

Google yasiya kugwiritsa ntchito mayina a dessert pazotulutsa za Android

Google yalengeza kuti ithetsa mchitidwe wopereka mayina a maswiti ndi zokometsera ku Android zomwe zimatulutsidwa motsatira zilembo ndipo zisintha kukhala manambala a digito wanthawi zonse. Chiwembu cham'mbuyomu chinabwerekedwa ku mchitidwe wotchula nthambi zamkati zomwe zimagwiritsidwa ntchito ndi akatswiri a Google, koma zidayambitsa chisokonezo chachikulu pakati pa ogwiritsa ntchito ndi opanga chipani chachitatu. Chifukwa chake, kutulutsidwa komwe kwapangidwa kwa Android Q tsopano kuli kovomerezeka […]

Momwe mungasonkhanitsire magulu ogwiritsa ntchito ngati ma graph mu Grafana [+ docker chithunzi ndi chitsanzo]

Momwe tidathetsera vuto lowonera gulu la ogwiritsa ntchito mu Promopult pogwiritsa ntchito Grafana. Promopult ndi ntchito yamphamvu yokhala ndi ogwiritsa ntchito ambiri. Pazaka 10 zogwira ntchito, chiŵerengero cha anthu olembetsa m’dongosololi chaposa miliyoni imodzi. Iwo omwe akumanapo ndi ntchito zofananira amadziwa kuti gulu ili la ogwiritsa ntchito silikhala lofanana. Wina adalembetsa ndi "kugona" kosatha. Wina wayiwala mawu achinsinsi ndipo [...]

Makina ogwiritsira ntchito a Unix amatha zaka 50

Mu Ogasiti 1969, Ken Thompson ndi Denis Ritchie a Bell Laboratory, osakhutitsidwa ndi kukula ndi zovuta za Multics OS, patatha mwezi umodzi wolimbikira, adapereka chiwonetsero choyamba cha Unix opareting'i sisitimu, wopangidwa m'chinenero cha msonkhano wa PDP. -7 kompyuta yaying'ono. Panthawiyi, chilankhulo chapamwamba kwambiri cha Bee chidapangidwa, chomwe patapita zaka zingapo chinasintha kukhala [...]

Telegalamu, ndani alipo?

Miyezi ingapo yadutsa kuchokera pomwe tidakhazikitsa kuyimbira kwathu kotetezeka kwa eni ake. Pakadali pano, anthu 325 adalembetsa nawo ntchitoyi. Zinthu zonse za 332 za umwini zimalembetsedwa, zomwe 274 ndi magalimoto. Zina zonse ndi malo enieni: zitseko, nyumba, zipata, zolowera, ndi zina zotero. Kunena zoona, osati kwambiri. Koma panthawiyi, zinthu zina zofunika zachitika m'dziko lathu lapafupi, [...]

Kutulutsidwa kwa makina osindikizira a CUPS 2.3 ndi kusintha kwa chilolezo cha code ya polojekiti

Pafupifupi zaka zitatu chikhazikitso cha nthambi yayikulu yomaliza, Apple idayambitsa kutulutsidwa kwa makina osindikizira aulere a CUPS 2.3 (Common Unix Printing System), omwe amagwiritsidwa ntchito mu macOS ndi magawo ambiri a Linux. Kukula kwa CUPS kumayendetsedwa kwathunthu ndi Apple, yomwe mu 2007 idatenga kampani ya Easy Software Products, yomwe idapanga CUPS. Kuyambira ndi kumasulidwa uku, chilolezo cha code chasintha [...]