Zokambirana: Pulojekiti ya OpenROAD ikufuna kuthetsa vuto la makina opanga ma processor

Zokambirana: Pulojekiti ya OpenROAD ikufuna kuthetsa vuto la makina opanga ma processor
Π€ΠΎΡ‚ΠΎ - Zosakaniza -CC PA

Ndi zoperekedwa PWC, msika waukadaulo wa semiconductor ukukula - chaka chatha udafika $481 biliyoni. Koma kukula kwake posachedwapa kuchepa. Zifukwa zakucheperako zikuphatikiza njira zosokoneza zopangira zida komanso kusowa kwa makina opangira okha.

Zaka zingapo zapitazo, akatswiri ochokera ku Intel analembakuti popanga microprocessor yogwira ntchito kwambiri muyenera kugwiritsa ntchito zida zapadera za 100-150 (NDI KUCHOKERA). Zinthu zitha kuchulukirachulukira pankhani yazida zosasinthika, zomanga zomwe zimaphatikizapo mitundu ingapo ya tchipisi - ASIC, FPGA, CPU kapena GPU. Zotsatira zake, zolakwika zamapangidwe zimachitika zomwe zimachedwetsa kutulutsidwa kwazinthu.

Ngakhale pali zida zambiri zothandizira, mainjiniya amakakamizikabe kugwira ntchito zina pamanja. Olemba bukuli "Advanced Logic Synthesis"Amanena kuti nthawi zina opanga ayenera lembani zolembedwa mu Skill kapena Python za mizere mamiliyoni awiri kuti mupange malaibulale maselo.

Ma script amalembedwanso kuti afotokoze malipoti opangidwa ndi machitidwe a EDA. Mukapanga chip pogwiritsa ntchito ukadaulo wa 22nm, malipotiwa amatha kutenga ma terabytes 30.

DARPA inaganiza zokonza zochitikazo ndikuyesera kulinganiza njira zopangira. Komanso ku bungwe lingaliranikuti njira zomwe zilipo zopangira tchipisi ndi zakale. Bungwe anapezerapo pulogalamu ya zaka zisanu OpenROAD, yomwe cholinga chake ndi kupanga zida zatsopano zopangira makina opangira ma chip.

Ndi pulogalamu yanji

Pulogalamuyi imaphatikizapo mapulojekiti angapo omwe amagwiritsa ntchito makina ophunzirira ndi matekinoloje amtambo kuti azitha kupanga magawo amtundu wa chip. Monga gawo loyambira akukonzedwa (chithunzi 1) zida zopitilira khumi. Kenako tikambirana mwatsatanetsatane za ena mwa iwo: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner ndi chida chowongolera malaibulale a RTL ndi GDSII. Zotsirizirazi ndi mafayilo a database omwe ndi muyezo wamakampani posinthanitsa zidziwitso za mabwalo ophatikizika ndi ma topology awo. Yankho lake limatengera ukadaulo wa chidebe cha Docker. Mutha kuthamanga Flow Runner pamtambo komanso kwanuko. Kalozera woyika ali m'malo ovomerezeka pa GitHub.

RePlAce ndi njira yamtambo yotengera kuphunzira kwamakina, yomwe imayang'anira kuyika zida pa chip ndi njira zodzipangira zokha. Wolemba zina data, ma algorithms anzeru amawonjezera mphamvu ya chida ndi 2-10% poyerekeza ndi machitidwe akale. Kuphatikiza apo, kukhazikitsa mumtambo kumapangitsa kuti makulitsidwe kukhala osavuta. Kukhazikitsa ndi kasinthidwe kalozera likupezekanso m'nkhokwe.

Zithunzi za TritonCTS - chida chothandizira kukhathamiritsa mawotchi a wotchi omwe amaperekedwa ku chip. Imathandizira ma siginolo a mawotchi kupita mbali zonse za chipangizocho ndikuchedwa kofanana. Mfundo ntchito zachokera H-mitengo. Njira iyi poΠ²Ρ‹ΡˆΠ°Π΅Ρ‚ kugawa bwino kwa chizindikiro ndi 30% poyerekeza ndi njira zachikhalidwe. Okonzawo akuti m'tsogolomu chiwerengerochi chikhoza kuwonjezeka kufika 56%. TritonCTS source code ndi zolemba zomwe zilipo pa GitHub.

OpenSTA - injini yowunikira nthawi yokhazikika. Zimapatsa wopanga mwayi kuti ayang'ane momwe chip chimagwirira ntchito chisanasonkhanitsidwe. Nambala yachitsanzo ku OpenSTA zikuwoneka ngati ngati chonchi.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Pulogalamuyi imathandizira kufotokozera kwa netlist kwa Verilog code, malaibulale amtundu wa Liberty, mafayilo a SDC, ndi zina.

Ubwino ndi kuipa

Akatswiri ochokera ku IBM ndi IEEE sangalalanikuti matekinoloje amtambo ndi kuphunzira pamakina kwachedwa kuti zigwiritsidwe ntchito popanga chip. Malingaliro awo, polojekiti ya DARPA ikhoza kukhala chitsanzo chabwino cha kukhazikitsidwa kwa lingaliro ili ndi adzaika chiyambi cha kusintha kwa makampani.

Zikuyembekezekanso kuti mawonekedwe otseguka a OpenROAD apanga gulu lamphamvu kuzungulira zida ndikukopa oyambitsa atsopano.

Zokambirana: Pulojekiti ya OpenROAD ikufuna kuthetsa vuto la makina opanga ma processor
Π€ΠΎΡ‚ΠΎ - Zosakaniza -CC PA

Pali otenga nawo mbali kale - labotale yomwe ikupanga tchipisi ku University of Michigan, adzakhala woyamba, omwe adzayesa zida zotsegula za OpenROAD. Koma sizikudziwika ngati njira zatsopano zothetsera vutoli zitha kukhala ndi zotsatira zowonekera pamtengo wazinthu zomaliza.

Ponseponse, zida zomwe zikupangidwa pansi pa utsogoleri wa DARPA zikuyembekezeka kukhala ndi zotsatira zabwino pamakampani opanga ma processor, ndipo mapulojekiti ena atsopano ayamba kuwonekera m'derali. Chitsanzo chingakhale chida GEDA - imakupatsani mwayi wopanga tchipisi ndi kuchuluka kwazinthu zopanda malire. gEDA imaphatikizapo zida zosinthira ndikusintha ma microcircuits ndi ma board routing. Yankho lake linapangidwira nsanja za UNIX, koma zigawo zake zingapo zimagwiranso ntchito pansi pa Windows. Kalozera wogwira nawo ntchito angapezeke muzolembedwa patsamba la polojekiti.

Zida zopezeka mwaulele zimapereka mabungwe odziyimira pawokha ndikuyambitsa zosankha zambiri. Ndizotheka kuti pakapita nthawi, njira zatsopano za OpenROAD zopanga zida za EDA ndi kapangidwe ka chip zitha kukhala muyezo wamakampani.

Zomwe timalemba mu blog yathu yamakampani:

Source: www.habr.com

Kuwonjezera ndemanga