Google yapereka mwayi wopanga ma batchi oyesa a tchipisi otseguka kwaulere

Google, mothandizana ndi makampani opanga SkyWater Technology ndi Efabless, yakhazikitsa njira yomwe imalola opanga zida zotseguka kuti apange tchipisi chomwe akupanga kwaulere. Ntchitoyi ikufuna kulimbikitsa chitukuko cha hardware yotseguka, kuchepetsa ndalama zopangira mapulojekiti otseguka komanso kuchepetsa kugwirizana ndi mafakitale opanga. Chifukwa cha ntchitoyi, aliyense atha kuyamba kupanga tchipisi tawo osawopa kukwera mtengo kopanga ma prototypes oyamba. Mitengo yonse yopanga, kulongedza ndi kutumiza imaperekedwa ndi Google.

Zofunsira zophatikizidwa mu pulogalamu yaulere zitha kutumizidwa kamodzi miyezi iwiri iliyonse. Malo oyandikira kwambiri adzatsekedwa pa June 8, ndipo tchipisi zomwe zidakwanitsa kulowamo zidzakhala zokonzeka pa Ogasiti 30 ndikutumizidwa kwa olemba pa Okutobala 18. Kuchokera pazofunsira zomwe zatumizidwa, ma projekiti 40 amasankhidwa (ngati zomwe zatumizidwa ndi zosakwana 40, ndiye kuti onse omwe adutsa cheke cholondola adzapangidwa). Kutengera zotsatira zopanga, wopanga alandila tchipisi 50 ndi ma board 5 okhala ndi tchipisi tayikidwa.

Zofunsira zimalandiridwa kuchokera kumapulojekiti omwe amagawidwa mokwanira pansi pa zilolezo zotseguka, osaphatikizidwa ndi mapangano osawulutsa (NDA) komanso osachepetsa kuchuluka kwa kagwiritsidwe ntchito kazinthu zawo. Zambiri zopanga ziyenera kusamutsidwa mumtundu wa GDSII, kupititsa mayeso omwe aperekedwa ndikusinthidwanso kuchokera pamafayilo opangira gwero (mwachitsanzo, perekani pulojekiti yotseguka, koma simungathe kupereka kapangidwe kake kuti mupange).

Kuti muchepetse chitukuko cha chip chotseguka, zida zotsatirazi zotseguka zilipo:

  • SkyWater PDK (Process Design Kit), chida chomwe chimafotokoza njira yaukadaulo ya 130nm (SKY130) yomwe imagwiritsidwa ntchito pafakitale ya SkyWater ndikukulolani kuti mukonzekere mafayilo ofunikira kuti mupange ma microcircuits.
  • OpenLane ndi gulu lazinthu zosinthira makina a RTL circuit circuit of application-specific chips (ASICs) kukhala mtundu wa GDSII womwe umagwiritsidwa ntchito m'mafakitale a chip.
    Google yapereka mwayi wopanga ma batchi oyesa a tchipisi otseguka kwaulere
  • XLS (Accelerated HW Synthesis) ndi chida chopangira mafayilo amapangidwe okhala ndi chip hardware omwe amafanana ndi kufotokozera kwapamwamba komwe kumafunikira magwiridwe antchito, opangidwa mwanjira yopangira mapulogalamu.
  • Mndandanda wa malamulo a dongosolo la msonkhano wa Bazel ndi chithandizo cha zida zotseguka (Yosys, Verilator, OpenROAD) zogwira ntchito ndi zilankhulo zofotokozera za hardware (Verilog, VHDL, Chisel, nMigen).
  • OpenROAD ndi chimango chosinthiratu njira yopangira ma microcircuits otseguka.
  • Verible ndi zida zachitukuko cha chilankhulo cha Verilog, kuphatikiza chowerengera, kalembedwe kachitidwe ndi linter.

Source: opennet.ru

Kuwonjezera ndemanga