Open Compute Project ikupanga mawonekedwe ogwirizana a ma chipset

Chips okhala ndi makhiristo angapo mu phukusi limodzi salinso atsopano. Kuphatikiza apo, machitidwe osasinthika monga AMD Rome akugonjetsa msika mwachangu. Munthu amamwalira mu tchipisi zotere nthawi zambiri amatchedwa chipset.

Kugwiritsiridwa ntchito kwa chipset kumakulolani kuti muwongolere ndondomeko yaukadaulo ndikuchepetsa mtengo wopangira ma processor ovuta; Ntchito yokulitsa imakhalanso yosavuta. Tekinoloje ya Chiplet ili ndi ndalama zake, koma Open Compute Project imapereka yankho. OCP, tikukumbutsani, izi ndi bungwe, momwe omvera ake amagawana zomwe zikuchitika mu gawo la mapulogalamu ndi hardware mapangidwe a malo amakono a deta ndi zipangizo kwa iwo. Timalankhula za iye kangapo anauza kwa owerenga athu.

Open Compute Project ikupanga mawonekedwe ogwirizana a ma chipset

Anthu ambiri amagwiritsa ntchito chipset masiku ano. Sikuti AMD yokha yachoka kuchoka ku monolithic processor cores kupita ku "ma processor opaka", Intel Stratix 10 kapena Huawei Kunpeng chips ali ndi mawonekedwe ofanana. Zingawonekere kuti mapangidwe amtundu wa chiplet amalola kusinthasintha kwakukulu, koma pakadali pano sizili choncho - opanga onse amagwiritsa ntchito njira yawo yolumikizirana (mwachitsanzo, kwa AMD ndi Infinity Fabric). Chifukwa chake, zosankha zamakina a chip zimangokhala ndi zida za wopanga m'modzi. Zabwino kwambiri, ma chipset ochokera kwa ogwirizana kapena ochepera angagwiritsidwe ntchito.

Open Compute Project ikupanga mawonekedwe ogwirizana a ma chipset

Intel ikuyesera kuthetsa vutoli pogwirizana ndi DARPA ndikulimbikitsa muyezo wotseguka Advanced Interface Bus (AIB). Ali ndi masomphenya ake pankhaniyi Tsegulani Project Compute: mmbuyo mu 2018, consortium idapanga kagulu kakang'ono Open Domain-Specific Architecture (ODSA), kuchita nawo phunziro la vutoli. Njira ya OCP ndiyambiri kuposa ya Intel; cholinga chapadziko lonse lapansi ndikugwirizanitsa msika wa chipset. Izi ziyenera kufewetsa momwe zingathere popanga njira zopangira zomangamanga zomwe zimatha kuphatikiza ma chipset amitundu yosiyanasiyana ndi opanga: ma tensor coprocessors, network ndi cryptographic accelerators, ngakhale ma ASIC amigodi ya cryptocurrency.


Open Compute Project ikupanga mawonekedwe ogwirizana a ma chipset

Kupita patsogolo kwa ODSA kumakhala kolimba: ngati pa nthawi ya msonkhano woyamba wa gulu ku 2018, makampani asanu ndi awiri okha a chitukuko adaphatikizidwa mmenemo, ndiye pakali pano chiwerengero cha otenga nawo mbali chafika pafupifupi zana. Ntchitoyo ikupita patsogolo, koma pali zovuta zambiri zomwe zikuyenera kuthetsedwa: mwachitsanzo, vuto sikuti ndi kusowa kwa mawonekedwe olumikizana ogwirizana - ndikofunikira kupanga ndikutengera muyezo womwe umalola kuphatikiza ma chipset ndi magwiridwe antchito osiyanasiyana, kuthetsa mavuto ndi kulongedza ndi kuyesa mayankho okonzeka opangidwa ndi ma chipset ambiri, perekani zida zachitukuko, ndikumvetsetsa nkhani zokhudzana ndi chidziwitso, ndi zina zambiri.

Pakalipano, msika wopezera mayankho opangidwa ndi ma chipset kuchokera kwa opanga osiyanasiyana uli wakhanda. Ndi nthawi yokha yomwe idzafotokoze kuti njira ya ndani idzapambana.



Source: 3dnews.ru

Kuwonjezera ndemanga