Kutulutsidwa kwa kernel ya Linux 5.15

Pambuyo pa miyezi iwiri yachitukuko, Linus Torvalds adapereka kutulutsidwa kwa Linux kernel 5.15. Zosintha zodziwika bwino zikuphatikiza: dalaivala watsopano wa NTFS wokhala ndi chithandizo cholembera, gawo la ksmbd lokhazikitsa seva ya SMB, DAMON subsystem yowunikira kukumbukira, zoyambira zenizeni zotsekera, thandizo la fs-verity ku Btrfs, process_mrelease system kuyitanira kukumbukira kwamayankho a njala, gawo lachiphaso chakutali. dm-ima.

Mtundu watsopanowu umaphatikizapo kukonza kwa 13499 kuchokera kwa opanga 1888, kukula kwa chigamba ndi 42 MB (zosintha zomwe zidakhudza mafayilo 10895, mizere ya 632522 yamakhodi idawonjezedwa, mizere 299966 idachotsedwa). Pafupifupi 45% ya zosintha zonse zomwe zidayambitsidwa mu 5.15 zimagwirizana ndi madalaivala a zida, pafupifupi 14% ya zosintha zimagwirizana ndi kukonzanso kachidindo kamangidwe ka ma hardware, 14% ikugwirizana ndi stack networking, 6% ikugwirizana ndi mafayilo amafayilo, ndi 3% zimagwirizana ndi ma kernel subsystems amkati.

Zatsopano zazikulu:

  • Disk Subsystem, I/O ndi File Systems
    • Kernel yatengera kukhazikitsa kwatsopano kwa fayilo ya NTFS, yotsegulidwa ndi Paragon Software. Dalaivala watsopano amatha kugwira ntchito polemba ndikuthandizira mawonekedwe onse amtundu waposachedwa wa NTFS 3.1, kuphatikiza mawonekedwe amafayilo owonjezera, mindandanda yofikira (ACLs), njira yophatikizira deta, ntchito yabwino yokhala ndi malo opanda kanthu m'mafayilo (sparse) ndikusinthanso kusintha kuchokera ku chipika chobwezeretsa kukhulupirika pambuyo polephera.
    • Dongosolo la mafayilo a Btrfs limathandizira njira ya fs-verity, yomwe imagwiritsidwa ntchito poyang'anira kukhulupirika ndi kutsimikizika kwa mafayilo amtundu uliwonse pogwiritsa ntchito ma cryptographic hashes kapena makiyi ogwirizana ndi mafayilo, osungidwa m'dera la metadata. M'mbuyomu, fs-verity inali kupezeka pamafayilo a Ext4 ndi F2fs okha.

      Btrfs imawonjezeranso kuthandizira pakujambula ma ID a ogwiritsa ntchito pamafayilo okwera (omwe kale anali othandizira FAT, ext4 ndi XFS mafayilo). Izi zimakulolani kuti mufananize mafayilo a wogwiritsa ntchito wina pagawo lakunja lokhazikitsidwa ndi wina wogwiritsa ntchito pakali pano.

      Zosintha zina ku Btrfs zikuphatikiza: kuwonjezera mwachangu makiyi ku index index kuti athandizire kupanga mafayilo; Kutha kugwira ntchito raid0 ndi chipangizo chimodzi, ndi raid10 ndi ziwiri (mwachitsanzo, panthawi yokonzanso gululo); kusankha β€œrescue=ibadroots” kunyalanyaza mtengo wolakwika; kufulumizitsa ntchito ya "kutumiza"; kuchepetsa mikangano yotsekera pakusinthanso ntchito; kuthekera kogwiritsa ntchito magawo a 4K pamakina okhala ndi kukula kwa tsamba la 64K.

    • Mu XFS, kuthekera kogwiritsa ntchito masiku pambuyo pa 2038 mu fayilo yamafayilo kwakhazikika. Inakhazikitsa njira yochepetsera kutsekedwa kwa inode ndikuthandizira kuchedwa kuyika ndi kuchotsa mawonekedwe a fayilo. Kuti muchepetse zovuta, kuthekera koletsa magawo a disk kwa magawo omwe adayikidwa kale kwachotsedwa (mutha kuletsa mwamphamvu ma quotas, koma kuwerengera komwe kumalumikizidwa nawo kumapitilira, chifukwa chake kukonzanso kumafunika kuti muwalepheretse).
    • Mu EXT4, ntchito yachitidwa kuti awonjezere ntchito yolemba delalloc buffers ndi kukonza mafayilo amasiye omwe akupitirizabe kukhalapo chifukwa chakuti amakhala otseguka, koma sakugwirizana ndi bukhu. Kukonza zochita zotaya kwachotsedwa pa ulusi wa jbd2 kthread kuti tipewe kutsekereza ntchito ndi metadata.
    • F2FS idawonjezera kusankha "discard_unit=block|segment|section" kuti amange ntchito zotayira (kulemba zilembo zomasulidwa zomwe sizingasungidwenso mwakuthupi) kumalumikizidwe okhudzana ndi chipika, gawo, gawo kapena gawo. Thandizo lowonjezera pakutsata kusintha kwa I/O latency.
    • Mafayilo a EROFS (Extendable Read-Only File System) amawonjezera chithandizo chachindunji cha I/O pamafayilo osungidwa popanda kukanikizidwa, komanso thandizo la fiemap.
    • OverlayFS imagwiritsa ntchito kuwongolera kolondola kwa "zosasinthika", "zowonjezera-zokha", "sync" ndi "noatime" zokwera mbendera.
    • NFS yasintha machitidwe omwe seva ya NFS imasiya kuyankha zopempha. Anawonjezera kuthekera kokwera kuchokera pa seva yomwe ikugwiritsidwa ntchito kale, koma yofikiridwa kudzera mu adilesi ina.
    • Zokonzekera zayamba kulembanso kachitidwe ka FSCACHE.
    • Thandizo lowonjezera la magawo a EFI ndi kuyika kosakhazikika kwa matebulo a GPT.
    • Makina a fanotify akhazikitsa mbendera yatsopano, FAN_REPORT_PIDFD, yomwe imapangitsa kuti pidfd ikhale m'gulu la metadata yobwezedwa. Pidfd imathandizira kuthana ndi zochitika zogwiritsanso ntchito PID kuti zizindikire molondola njira zopezera mafayilo omwe amawunikidwa (pidfd imalumikizidwa ndi njira inayake ndipo sikusintha, pomwe PID imatha kulumikizidwa ndi njira ina ikatha njira yomwe ikukhudzana ndi PIDyo).
    • Anawonjezera kuthekera kowonjezera malo okwera m'magulu omwe adagawana nawo pamayendedwe a move_mount (), omwe amathetsa mavuto pakusunga ndikubwezeretsanso dongosolo ku CRIU pakakhala malo angapo okwera omwe amagawidwa m'mitsuko yakutali.
    • Chitetezo chowonjezera pamikhalidwe yobisika yomwe ingayambitse chivundi cha mafayilo powerenga cache mukamakonza ma voids mufayilo.
    • Thandizo lotsekera (lovomerezeka) lotsekera mafayilo, lomwe limagwiritsidwa ntchito poletsa mafoni oyimbira omwe amatsogolera kusintha kwa fayilo, lathetsedwa. Chifukwa cha mikhalidwe yotheka, maloko amenewa ankaonedwa kuti ndi osadalirika ndipo anachotsedwa ntchito zaka zambiri zapitazo.
    • Dongosolo laling'ono la LightNVM lachotsedwa, lomwe limalola mwayi wofikira ku SSD drive, kudutsa gawo lotsatsira. LightNVM idataya tanthauzo pambuyo pakubwera kwa miyezo ya NVMe yomwe imapereka malo (ZNS, Zoned Namespace).
  • Memory ndi ntchito zadongosolo
    • Dongosolo laling'ono la DAMON (Data Access MONitor) lakhazikitsidwa, kukulolani kuti muwone zochitika zokhudzana ndi kupeza deta mu RAM mogwirizana ndi njira yosankhidwa yomwe ikuyenda mu malo ogwiritsira ntchito. Dongosololi limakupatsani mwayi wosanthula madera omwe amakumbukiridwa nthawi yonse yogwira ntchito, ndi malo okumbukira omwe sanatchulidwe. DAMON imakhala ndi katundu wochepa wa CPU, kugwiritsa ntchito kukumbukira pang'ono, kulondola kwambiri komanso kusinthika kosalekeza, osadalira kukula kwake. Ma subsystem atha kugwiritsidwa ntchito ndi kernel kukhathamiritsa kasamalidwe ka kukumbukira, komanso ndi zofunikira pakugwiritsa ntchito malo kuti amvetsetse zomwe ndondomeko ikuchita ndikukhathamiritsa kugwiritsa ntchito kukumbukira, mwachitsanzo, kumasula kukumbukira kochulukirapo padongosolo.
    • The process_mrelease system call yakhazikitsidwa kuti ifulumizitse njira yotulutsira kukumbukira njira yomwe imamaliza kuchitidwa. Nthawi zambiri, kutulutsa kwazinthu ndi kuyimitsa sikochitika nthawi yomweyo ndipo kumatha kuchedwetsedwa pazifukwa zosiyanasiyana, kusokoneza njira zoyankhira msanga za oomd (zoperekedwa ndi systemd) ndi lmkd (zogwiritsidwa ntchito ndi Android). Poyimba process_mrelease, makina oterowo amatha kupangitsa kuti kukumbukira kuyambikenso kumachitidwe okakamizidwa.
    • Kuchokera ku nthambi ya PREEMPT_RT kernel, yomwe imapanga chithandizo cha nthawi yeniyeni, mitundu yosiyanasiyana ya primitives yokonzekera locks mutex, ww_mutex, rw_semaphore, spinlock ndi rwlock, kutengera RT-Mutex subsystem, yasamutsidwa. Zosintha zawonjezedwa ku SLUB slab allocator kuti ziwongolere magwiridwe antchito a PREEMPT_RT ndikuchepetsa kusokoneza.
    • Thandizo la SCHED_IDLE ndandanda ya ntchito yawonjezedwa ku gulu, kukulolani kuti mupereke izi pamachitidwe onse a gulu omwe ali mugulu linalake. Iwo. njirazi zidzangoyenda pamene palibe ntchito zina zomwe zikuyembekezera kuchitidwa pa dongosolo. Mosiyana ndi kuyika mawonekedwe a SCHED_IDLE panjira iliyonse payekhapayekha, pomanga SCHED_IDLE ku gulu, kulemera kwake kwa ntchito mkati mwa gulu kumaganiziridwa posankha ntchito yoti mugwire.
    • Makina owerengera kuti azigwiritsa ntchito kukumbukira mumagulu awonjezedwa ndikutha kutsata zida zowonjezera za kernel, kuphatikiza zomwe zidapangidwa kuti zisankho, kukonza ma sign ndi malo a mayina.
    • Thandizo lowonjezera pakukonzekera kwanthawi yayitali kwa ntchito yomanga ma processor cores pamapangidwe momwe ma CPU ena amalola kuchitidwa ntchito za 32-bit, ndipo ena amagwira ntchito mu 64-bit mode (mwachitsanzo, ARM). Njira yatsopanoyi imakupatsani mwayi wongoganizira ma CPU okha omwe amathandizira ntchito za 32-bit pokonza ntchito za 32-bit.
    • Mawonekedwe a io_uring asynchronous I/O tsopano amathandizira kutsegula mafayilo mwachindunji patebulo lolozera mafayilo osakhazikika, osagwiritsa ntchito fayilo yofotokozera, zomwe zimapangitsa kuti zitheke kufulumizitsa mitundu ina ya ntchito, koma zimatsutsana ndi njira yachikhalidwe ya Unix yogwiritsa ntchito zofotokozera mafayilo. kuti mutsegule mafayilo.

      io_uring for the BIOS (Block I/O Layer) subsystem imagwiritsa ntchito njira yatsopano yobwezeretsanso ("BIO recycling"), yomwe imachepetsa kupitilira apo pakuwongolera kukumbukira mkati ndikuwonjezera kuchuluka kwa magwiridwe antchito a I/O pamphindikati pafupifupi 10%. . io_uring imawonjezeranso chithandizo cha mafoni a mkdirat(), symlinkat() ndi linkat() system.

    • Pamapulogalamu a BPF, kuthekera kopempha ndikukonza zochitika zanthawi yayitali kwakhazikitsidwa. Wowonjezera wa sockets wa UNIX wawonjezedwa, ndipo kuthekera kopeza ndikukhazikitsa socket options kwa setsockopt kwakhazikitsidwa. BTF dumper tsopano imathandizira deta yojambulidwa.
    • Pa machitidwe a NUMA okhala ndi mitundu yosiyanasiyana ya kukumbukira yomwe imasiyana ndi ntchito, malo aulere akatha, masamba okumbukira omwe achotsedwa amasamutsidwa kuchokera ku kukumbukira kwamphamvu (DRAM) kupita ku kukumbukira kosatha pang'onopang'ono (Persistent Memory) m'malo mochotsa masamba awa. Mayesero asonyeza kuti machenjerero otere nthawi zambiri amawongolera magwiridwe antchito pamakina otere. NUMA imaperekanso mwayi wogawa masamba okumbukira kuti achitepo kanthu kuchokera kumagulu osankhidwa a NUMA.
    • Pazomangamanga za ARC, kuthandizira kwamatebulo amasamba atatu ndi anayi akhazikitsidwa, zomwe zithandizira kuthandizira ma processor a 64-bit ARC.
    • Pazomangamanga za s390, kuthekera kogwiritsa ntchito njira ya KFENCE kuti muwone zolakwika mukamagwira ntchito ndi kukumbukira kwakhazikitsidwa, ndipo chithandizo cha chowunikira chamtundu wa KCSAN chawonjezeredwa.
    • Thandizo lowonjezera lolozera mndandanda wa mauthenga omwe amachokera ku printk(), kukulolani kuti mutenge mauthenga onsewa nthawi imodzi ndikuwona kusintha kwa malo ogwiritsira ntchito.
    • mmap() yachotsa kuthandizira njira ya VM_DENYWRITE, ndipo kernel code yachotsedwa kugwiritsa ntchito MAP_DENYWRITE mode, zomwe zachepetsa kuchuluka kwa zochitika zomwe zimapangitsa kutsekereza zolemba ku fayilo yokhala ndi cholakwika cha ETXTBSY.
    • Mtundu watsopano wamacheke, "Zofufuza za Zochitika," wawonjezedwa ku kachitidwe kakang'ono kakutsata, komwe kumatha kumangirizidwa kuzomwe zikuchitika, kutanthauzira zomwe mwatulutsa.
    • Pomanga kernel pogwiritsa ntchito chojambulira cha Clang, chophatikizira chosasinthika kuchokera ku polojekiti ya LLVM tsopano chikugwiritsidwa ntchito.
    • Monga gawo la pulojekiti yochotsa kernel ya code yomwe imatsogolera kuti machenjezo atulutsidwe ndi wolembayo, kuyesa kunachitika ndi "-Werror" mode yomwe imayatsidwa mwachisawawa, momwe machenjezo a compiler amasinthidwa ngati zolakwika. Pokonzekera kumasulidwa kwa 5.15, Linus anayamba kuvomereza kusintha kokha komwe sikunabweretse machenjezo pamene akumanga kernel ndikuthandizira kumanga ndi "-Werror", koma adavomereza kuti chisankho choterocho chinali chisanachitike komanso kuchedwa kuthandizira "-Werror" mwachisawawa. . Kuphatikizika kwa mbendera ya "-Werror" panthawi yosonkhanitsa kumayendetsedwa pogwiritsa ntchito chizindikiro cha WERROR, chomwe chimayikidwa ku COMPILE_TEST mwachisawawa, i.e. Pakalipano amangoyatsidwa pakupanga mayeso.
  • Virtualization ndi Chitetezo
    • Chogwirizira chatsopano cha dm-ima chawonjezedwa ku Device Mapper (DM) ndikukhazikitsa njira yotsimikizira zakutali kutengera IMA (Integrity Measurement Architecture) subsystem, yomwe imalola ntchito yakunja kutsimikizira momwe ma kernel subsystems alili kuti zitsimikizire kuti ndizowona. . M'malo mwake, dm-ima imakupatsani mwayi wopanga zosungirako pogwiritsa ntchito Device Mapper zomwe zimalumikizidwa ndi makina amtambo akunja, momwe kutsimikizika kwazomwe zakhazikitsidwa kwa DM kumawunikiridwa pogwiritsa ntchito IMA.
    • prctl() imagwiritsa ntchito njira yatsopano PR_SPEC_L1D_FLUSH, yomwe ikayatsidwa, imapangitsa kuti kernel isungunuke zomwe zili mu cache yoyamba (L1D) nthawi iliyonse kusintha kosintha kumachitika. Njirayi imalola, kusankha njira zofunika kwambiri, kukhazikitsa chitetezo chowonjezera pakugwiritsa ntchito njira zapambali zomwe zachitika kuti zitsimikizire zomwe zakhazikika mu cache chifukwa cha zovuta zomwe zimachitika chifukwa chongoganizira za malangizo mu CPU. Mtengo wotsegulira PR_SPEC_L1D_FLUSH (osayatsidwa mwachisawawa) ndi chilango chachikulu chakuchita.
    • N'zotheka kupanga kernel ndi kuwonjezera kwa "-fzero-call-used-regs=used-gpr" mbendera ku GCC, zomwe zimatsimikizira kuti zolembera zonse zimasinthidwa kukhala zero musanabwerere kulamulira kuchokera kuntchito. Izi zimakuthandizani kuti muteteze ku kutayikira kwa chidziwitso kuchokera ku ntchito ndikuchepetsa ndi 20% kuchuluka kwa midadada yoyenera kumanga zida za ROP (Return-Oriented Programming) pazochita.
    • Kuthekera kopanga ma maso pamapangidwe a ARM64 mwa mawonekedwe a makasitomala a Hyper-V hypervisor kwakhazikitsidwa.
    • Njira yatsopano yopangira madalaivala "VDUSE" ikuperekedwa, yomwe imalola kugwiritsa ntchito zida za block mu malo ogwiritsira ntchito ndikugwiritsa ntchito Virtio ngati chotengera cholowera kuchokera ku machitidwe a alendo.
    • Dalaivala wowonjezera wa Virtio wa basi ya I2C, kupangitsa kuti zitheke kutsanzira owongolera a I2C munjira ya paravirtualization pogwiritsa ntchito ma backend osiyana.
    • Wowonjezera Virtio woyendetsa gpio-virtio kuti alole alendo kuti azitha kupeza mizere ya GPIO yoperekedwa ndi olandila.
    • Anawonjezera kuthekera koletsa mwayi wofikira masamba okumbukira madalaivala a zida zothandizidwa ndi DMA pamakina opanda I/O MMU (memory-management unit).
    • KVM hypervisor imatha kuwonetsa ziwerengero mu mawonekedwe a linear ndi logarithmic histograms.
  • Network subsystem
    • Gawo la ksmbd lawonjezeredwa ku kernel ndikukhazikitsa seva yamafayilo pogwiritsa ntchito protocol ya SMB3. Gawoli limakwaniritsa kukhazikitsidwa kwa kasitomala wa SMB komwe kunalipo kale mu kernel ndipo, mosiyana ndi seva ya SMB yomwe ikuyenda mumalo ogwiritsira ntchito, imakhala yogwira ntchito bwino, kugwiritsa ntchito kukumbukira komanso kuphatikiza ndi luso lapamwamba la kernel. Ksmbd imawonedwa ngati chowonjezera cha Samba chochita bwino kwambiri, chokhazikika chomwe chimalumikizana ndi zida za Samba ndi malaibulale ngati pakufunika. Kuthekera kwa ksmbd kumaphatikizanso chithandizo chothandizira ukadaulo wogawa mafayilo (SMB leases) pamakina am'deralo, zomwe zitha kuchepetsa kuchuluka kwa magalimoto. M'tsogolomu, akukonzekera kuwonjezera thandizo la RDMA ("smbdirect") ndi zowonjezera za protocol zokhudzana ndi kuonjezera kudalirika kwa kubisa ndi kutsimikizira pogwiritsa ntchito siginecha ya digito.
    • Makasitomala a CIFS sakuthandizanso NTLM komanso ma algorithms ofooka a DES omwe amagwiritsidwa ntchito mu protocol ya SMB1.
    • Thandizo la Multicast likugwiritsidwa ntchito pakukhazikitsa milatho yama network a vlans.
    • Dalaivala yomangirira, yomwe imagwiritsidwa ntchito kuphatikizira ma network, yawonjezera chithandizo cha XDP (eXpress Data Path) subsystem, yomwe imakupatsani mwayi wowongolera mapaketi a netiweki pa siteji asanayambe kukonzedwa ndi Linux kernel network stack.
    • Zopanda zingwe za mac80211 zimathandizira 6GHZ STA (Special Temporary Authorization) mumitundu ya LPI, SP ndi VLP, komanso kuthekera kokhazikitsa TWT (Target Wake Time) panjira yofikira.
    • Thandizo lowonjezera la MCTP (Management Component Transport Protocol), lomwe limagwiritsidwa ntchito polumikizana pakati pa oyang'anira oyang'anira ndi zida zogwirizana nazo (ma processor a host, zida zotumphukira, ndi zina).
    • Kuphatikizika pakati pa MPTCP (MultiPath TCP), kukulitsa kwa protocol ya TCP yokonzekera kugwiritsa ntchito kulumikizana kwa TCP ndi kutumiza mapaketi nthawi imodzi m'njira zingapo kudzera pamaneti osiyanasiyana olumikizidwa ndi ma adilesi osiyanasiyana a IP. Kutulutsidwa kwatsopano kumawonjezera chithandizo cha maadiresi mu fullmesh mode.
    • Zogwirizira pamitsinje ya netiweki zomwe zili mu protocol ya SRv6 (Segment Routing IPv6) zawonjezedwa ku netfilter.
    • Thandizo la sockmap lowonjezera pama soketi aku Unix.
  • Zida
    • Dalaivala wa amdgpu amathandizira ma Cyan Skillfish APU (okhala ndi Navi 1x GPUs). Yellow Carp APU tsopano imathandizira ma codec amakanema. Kupititsa patsogolo chithandizo cha Aldebaran GPU. Onjezani zozindikiritsa mapu zatsopano zochokera ku GPU Navi 24 "Beige Goby" ndi RDNA2. Kukhazikitsa bwino kwa zowonera (VKMS) kukuyembekezeka. Thandizo lowunika kutentha kwa tchipisi ta AMD Zen 3 lakhazikitsidwa.
    • Dalaivala wa amdkfd (wa discrete GPUs, monga Polaris) amagwiritsa ntchito woyang'anira kukumbukira (SVM, kukumbukira kukumbukira) kutengera HMM (Heterogeneous memory management) subsystem, yomwe imalola kugwiritsa ntchito zida zomwe zili ndi magawo awo okumbukira kukumbukira (MMU). , gawo loyang'anira kukumbukira), lomwe limatha kufikira kukumbukira kwakukulu. Makamaka, pogwiritsa ntchito HMM, mutha kukonza malo omwe ali nawo adilesi pakati pa GPU ndi CPU, momwe GPU imatha kufikira kukumbukira kwakukulu kwa njirayi.
    • Dalaivala wa i915 wa makadi avidiyo a Intel amakulitsa kugwiritsa ntchito makina osungira mavidiyo a TTM ndikuphatikizanso mphamvu yogwiritsira ntchito mphamvu pogwiritsa ntchito GuC (Graphics micro Controller). Kukonzekera kwayamba kukhazikitsidwa kwa chithandizo cha Intel ARC Alchemist graphics khadi ndi Intel Xe-HP GPU.
    • Dalaivala wa nouveau amagwiritsa ntchito zowongolera zowunikira kumbuyo kwa mapanelo a eDP pogwiritsa ntchito DPCD (DisplayPort Configuration Data).
    • Thandizo lowonjezera la Adreno 7c Gen 3 ndi Adreno 680 GPUs kwa oyendetsa msm.
    • Dalaivala wa IOMMU akugwiritsidwa ntchito pa chipangizo cha Apple M1.
    • Wowonjezera wamawu oyendetsa makina ozikidwa pa AMD Van Gogh APUs.
    • Dalaivala wa Realtek R8188EU wawonjezedwa kunthambi yoyambira, yomwe idalowa m'malo mwa dalaivala wakale (rtl8188eu) ya Realtek RTL8188EU 802.11 b/g/n chips opanda zingwe.
    • Dalaivala wa ocp_pt akuphatikizidwa pa bolodi la PCIe lopangidwa ndi Meta (Facebook) ndikukhazikitsa wotchi yaying'ono ya atomiki ndi cholandila cha GNSS, chomwe chitha kugwiritsidwa ntchito kukonza magwiridwe antchito a ma seva olumikizana nthawi yeniyeni.
    • Thandizo lowonjezera la Sony Xperia 10II (Snapdragon 665), Xiaomi Redmi 2 (Snapdragon MSM8916), Samsung Galaxy S3 (Snapdragon MSM8226), Samsung Gavini/Codina/Kyle mafoni a m'manja.
    • Thandizo lowonjezera la ARM SoΠ‘ ndi NVIDIA Jetson TX2 NX Developer Kit, Sancloud BBE Lite, PicoITX, DRC02, SolidRun SolidSense, SKOV i.MX6, Nitrogen8, Traverse Ten64, GW7902, Microchip SAMA7, ualcomm SDM636-Snapdragon SDM8150/SM3Snapdragon SDM2/SM3 matabwa -2G/M913e-2600G, Marvell CN4x, ASpeed ​​AST418 (Facebook Cloudripper, Elbert ndi Fuji ma seva board), 2264KOpen STiHXNUMX-bXNUMX.
    • Thandizo lowonjezera la mapanelo a Gopher 2b LCD, EDT ETM0350G0DH6/ETMV570G2DHU, LOGIC Technologies LTTD800480070-L6WH-RT, Multi-Innotechnology MI1010AIT-1CP1, Innolux EJ030nk3.0K9341K3300K33K20K7430K2401KXNUMXKXNUMXNAKXNUMXKXNUMXNA, Innolux EJXNUMXnkXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXNAKXNUMXKXNUMXNAKXNUMXKXNUMXKXNUMXKCA-RT , Samsung ATNAXNUMXXCXNUMX XNUMX, Samsung DBXNUMX, WideChips WSXNUMX .
    • Dalaivala yowonjezeredwa ya LiteETH yothandizidwa ndi owongolera a Ethernet omwe amagwiritsidwa ntchito mu LiteX software SoCs (ya FPGAs).
    • Njira yocheperako yawonjezedwa kwa dalaivala wa usb-audio kuti muwongolere kuphatikizika kwa ntchito mumayendedwe ochepera a latency. Anawonjezeranso njira ya quirk_flags kuti mudutse zoikamo za chipangizochi.

Nthawi yomweyo, Latin American Free Software Foundation idapanga mtundu wa kernel yaulere 5.15 - Linux-libre 5.15-gnu, yochotsedwa pazinthu za firmware ndi madalaivala okhala ndi zida zopanda ufulu kapena magawo a code, kukula kwake kuli kochepa. ndi wopanga. Kutulutsidwa kwatsopano kumagwiritsa ntchito kutulutsa kwa uthenga ku chipika chokhudza kumaliza kuyeretsa. Mavuto ndi kupanga phukusi pogwiritsa ntchito mkspec akhazikitsidwa, chithandizo cha phukusi lachidule chasinthidwa. Anachotsa machenjezo omwe akuwonetsedwa pokonza fayilo yamutu wa firmware.h. Amalola kutulutsa kwa mitundu ina ya machenjezo ("format-extra-args", ndemanga, ntchito zosagwiritsidwa ntchito ndi zosintha) pomanga mu "-Werror" mode. Anawonjezera kuyeretsa kwa driver wa gehc-achc. Kusinthidwa kachidindo koyeretsa ma blob mu madalaivala ndi ma subsystems adreno, btusb, btintel, brcmfmac, aarch64 qcom. Kuyeretsa madalaivala prism54 (kuchotsedwa) ndi rtl8188eu (m'malo mwa r8188eu) kwayimitsidwa.

Source: opennet.ru

Kuwonjezera ndemanga