Kutulutsidwa kwa kernel ya Linux 5.18

Pambuyo pa miyezi iwiri yachitukuko, Linus Torvalds adapereka kutulutsidwa kwa Linux kernel 5.18. Zina mwa zosintha zodziwika bwino: kuyeretsa kwakukulu kwa magwiridwe antchito achikale kunachitika, Reiserfs FS idanenedwa kuti sinagwire ntchito, zochitika zotsatiridwa ndi ogwiritsa ntchito zidakhazikitsidwa, kuthandizira njira yotsekera zogwiritsa ntchito za Intel IBT zidawonjezedwa, njira yodziwira kusefukira kwa buffer idathandizidwa pomwe pogwiritsa ntchito memcpy () ntchito, njira yotsatirira ma foni a fprobe idawonjezedwa, Ntchito ya okonza ntchito pa AMD Zen CPU yasinthidwa, dalaivala woyang'anira ntchito za Intel CPU (SDS) waphatikizidwa, zigamba zina zaphatikizidwa. pakukonzanso mafayilo amutu, ndipo kugwiritsa ntchito muyezo wa C11 kwavomerezedwa.

Mtundu watsopanowu umaphatikizapo kukonza kwa 16206 kuchokera kwa opanga 2127 (pakutulutsidwa komaliza kunali zosintha 14203 kuchokera kwa opanga 1995), kukula kwa chigamba ndi 108 MB (zosintha zomwe zidakhudza mafayilo 14235, mizere 1340982 yamakhodi idawonjezedwa, mizere 593836 idachotsedwa). Pafupifupi 44% ya zosintha zonse zomwe zidayambitsidwa mu 5.18 zimagwirizana ndi madalaivala a zida, pafupifupi 16% ya zosintha zimagwirizana ndikusintha kachidindo kamangidwe ka ma hardware, 11% ikugwirizana ndi stack network, 3% ikugwirizana ndi mafayilo amafayilo, ndi 3% zimagwirizana ndi ma kernel subsystems amkati.

Zatsopano zazikulu mu kernel 5.18:

  • Disk Subsystem, I/O ndi File Systems
    • Dongosolo lamafayilo a Btrfs lawonjezera chithandizo chotumizira deta yoponderezedwa potumiza ndi kulandira. M'mbuyomu, pogwiritsira ntchito kutumiza/kulandira, mbali yotumizayo inkatsitsa deta yosungidwa mu mawonekedwe oponderezedwa, ndipo mbali yolandirayo inkawatsitsiranso asanalembe. Mu 5.18 kernel, kugwiritsa ntchito malo ogwiritsa ntchito kutumiza/kulandira mafoni kunapatsidwa kuthekera kotumiza deta yoponderezedwa popanda kuyikanso. Izi zimachitika chifukwa cha ntchito zatsopano za ioctl BTRFS_IOC_ENCODED_READ ndi BTRFS_IOC_ENCODED_WRITE, zomwe zimakupatsani mwayi wowerenga ndikulemba zambiri mosiyanasiyana.

      Kuphatikiza apo, Btrfs imathandizira magwiridwe antchito a fsync. Anawonjezera kuthekera kochotsa ndikuchita reflink (kuphatikiza metadata yamafayilo popanga ulalo wa zomwe zilipo popanda kuzikopera) pazosungira zonse, osangokhala ndi malo okwera.

    • Munjira ya Direct I / O, ndizotheka kupeza mafayilo osungidwa pomwe fscrypt imagwiritsa ntchito inline encryption, momwe ma encryption ndi decryption amachitidwa ndi wowongolera pagalimoto osati kernel. Ndi kubisa kwa kernel pafupipafupi, kupeza mafayilo osungidwa pogwiritsa ntchito Direct I/O sikuthekabe, popeza mafayilo amafikiridwa podutsa njira yosungira mu kernel.
    • Seva ya NFS imaphatikizapo kuthandizira kwa protocol ya NFSv3 mwachisawawa, yomwe tsopano sikufunika kuyatsa kosiyana ndipo imapezeka pamene NFS imayatsidwa nthawi zambiri. NFSv3 imawonedwa ngati mtundu waukulu komanso wothandizidwa nthawi zonse wa NFS, ndipo kuthandizira kwa NFSv2 kutha kusiyidwa mtsogolo. Kuchita bwino kwa zomwe zili mu bukhuli kwasinthidwa kwambiri.
    • Fayilo ya ReiserFS idachotsedwa ntchito ndipo ikuyembekezeka kuchotsedwa mu 2025. Kuchepetsa ReiserFS kudzachepetsa kuyesetsa komwe kumafunikira kuti pakhale kusintha kwamafayilo pamafayilo okhudzana ndikuthandizira API yatsopano yoyika, iomap, ndi tomes.
    • Pamafayilo a F2FS, kuthekera kopanga ma ID a ogwiritsa ntchito pamafayilo okwera kwakhazikitsidwa, komwe kumagwiritsidwa ntchito kufananiza mafayilo a wogwiritsa ntchito pagawo lakunja lokhazikitsidwa ndi wina wogwiritsa ntchito pakali pano.
    • Khodi yowerengera ziwerengero m'magwiridwe a Device-mapper yakonzedwanso, zomwe zathandizira kwambiri kulondola kwa ma accounting mwa othandizira monga dm-crypt.
    • Zida za NVMe tsopano zimathandizira macheke a 64-bit kuti awone kukhulupirika.
    • Kwa fayilo ya exfat, njira yatsopano yokwera "keep_last_dots" yaperekedwa, yomwe imalepheretsa kuchotsa madontho kumapeto kwa dzina lafayilo (mu Windows, madontho kumapeto kwa dzina lafayilo amachotsedwa mwachisawawa).
    • EXT4 imathandizira magwiridwe antchito a fast_commit mode ndikuwonjezera scalability. Njira ya "mb_optimize_scan" yokwera, yomwe imalola kukulitsa magwiridwe antchito pakagawidwe ka fayilo yayikulu, imasinthidwa kuti igwire ntchito ndi mafayilo okhala ndi magawo.
    • Thandizo lolemba mitsinje mu subsystem yomwe imathandizira zida za block yatha. Mbaliyi idaperekedwa kwa ma SSD, koma sizinafalikire ndipo palibe zida zomwe zikugwiritsidwa ntchito zomwe zimathandizira njirayi ndipo sizokayikitsa kuti zidzawonekera mtsogolo.
  • Memory ndi ntchito zadongosolo
    • Kuphatikizika kwa zigamba zamagulu kwayamba, kulola kuchepetsa kwambiri nthawi yomanganso kernel mwa kukonzanso maulamuliro a mafayilo amutu ndi kuchepetsa chiwerengero cha kudalirana. Kernel 5.18 imaphatikizanso zigamba zomwe zimakhathamiritsa mawonekedwe a mafayilo amutu wantchito (kernel/sched). Poyerekeza ndi kumasulidwa koyambirira, kugwiritsa ntchito nthawi ya CPU posonkhanitsa kernel / sched / code kunachepetsedwa ndi 61%, ndipo nthawi yeniyeni inatsika ndi 3.9% (kuchokera ku 2.95 mpaka 2.84 sec).
    • Khodi ya Kernel imaloledwa kugwiritsa ntchito muyezo wa C11, wofalitsidwa mu 2011. M'mbuyomu, khodi yomwe idawonjezeredwa ku kernel imayenera kutsata ndondomeko ya ANSI C (C89), yomwe idapangidwa kale mu 1989. Mu 5.18 kernel build scripts, kusankha β€˜β€”std=gnu89’ kunasinthidwa ndi β€˜β€”std=gnu11 -Wno-shift-negative-value’. Kuthekera kogwiritsa ntchito muyezo wa C17 kudaganiziridwa, koma pakadali pano pangafunike kuwonjezera mtundu wocheperako wothandizidwa ndi GCC, pomwe kuphatikizidwa kwa C11 kumagwirizana ndi zofunikira za GCC version (5.1).
    • Kupititsa patsogolo ntchito yokonza ntchito pa mapurosesa a AMD okhala ndi Zen microarchitecture, yomwe imapereka angapo Last Level Cache (LLC) pa node iliyonse yokhala ndi mayendedwe apamtima. Mtundu watsopanowu umachotsa kusamvana kwa LLC pakati pa ma node a NUMA, zomwe zidapangitsa kuti magwiridwe antchito amitundu ina achuluke.
    • Zida zotsatirira ntchito pamalo ogwiritsira ntchito zawonjezedwa. Mtundu watsopano wa kernel umawonjezera kuthekera kwa njira za ogwiritsa ntchito kuti apange zochitika za Ogwiritsa ntchito ndikulemba zidziwitso ku trace buffer, yomwe imatha kuwonedwa kudzera pazotsatira zanthawi zonse za kernel monga ftrace ndi perf. Zochitika zotsata malo ogwiritsira ntchito zimasiyanitsidwa ndi zochitika za kernel trace. Chochitikacho chikhoza kuwonedwa kudzera mu fayilo /sys/kernel/debug/tracing/user_events_status, ndi kulembetsa zochitika ndi kujambula deta kudzera pa fayilo /sys/kernel/debug/tracing/user_events_data.
    • Anawonjezera makina otsata (probe) ntchito zoyimba - fprobe. API ya fprobe idakhazikitsidwa ndi ftrace, koma imangokhala ndi kuthekera kophatikiza othandizira callback kuti agwire ntchito yolowera ndi malo otuluka. Mosiyana ndi ma kprobes ndi kretprobes, makina atsopano amakulolani kugwiritsa ntchito chogwirira chimodzi pazinthu zingapo nthawi imodzi.
    • Thandizo la mapurosesa akale a ARM (ARMv4 ndi ARMv5) omwe alibe zida zowongolera kukumbukira (MMU) zathetsedwa. Thandizo la machitidwe a ARMv7-M opanda MMU amasungidwa.
    • Thandizo la zomangamanga za RISC ngati NDS32 zomwe zimagwiritsidwa ntchito mu Andes Technologies processors zathetsedwa. Khodiyo idachotsedwa chifukwa chosowa kukonza komanso kusowa kwa chithandizo cha NDS32 mu kernel yayikulu ya Linux (otsalira otsala amagwiritsa ntchito kernel yomanga mwapadera kuchokera kwa opanga ma hardware).
    • Mwachikhazikitso, kupanga kernel mothandizidwa ndi a.out executable file format imayimitsidwa pamapangidwe a alpha ndi m68k, omwe akupitiriza kugwiritsa ntchito mawonekedwewa. Ndizotheka kuti kuthandizira kwa mtundu wa legacy a.out kuchotsedwa kwathunthu ku kernel posachedwa. Mapulani ochotsa mawonekedwe a a.out akhala akukambidwa kuyambira 2019.
    • Zomangamanga za PA-RISC zimapereka chithandizo chochepa cha njira ya vDSO (zinthu zomwe zimagawidwa, zomwe zimapereka ma foni ochepa omwe amapezeka mu malo ogwiritsira ntchito popanda kusintha. Thandizo la vDSO linapangitsa kuti zitheke kugwiritsa ntchito luso loyendetsa ndi stack yosatheka.
    • Thandizo lowonjezera la makina a Intel HFI (Hardware Feedback Interface), omwe amalola kuti hardware itumize zambiri ku kernel za momwe CPU ikugwirira ntchito komanso mphamvu zamagetsi.
    • Anawonjezera dalaivala wa makina a Intel SDSi (Software-Defined Silicon), omwe amakulolani kuti muwongolere kuphatikizidwa kwa zina zowonjezera mu purosesa (mwachitsanzo, malangizo apadera ndi kukumbukira kosungirako). Lingaliro ndilakuti tchipisi titha kuperekedwa pamtengo wotsika ndi ntchito zapamwamba zokhoma, zomwe zitha "kugulidwa" ndikuwonjezera mphamvu popanda kusinthira chip.
    • Dalaivala wa amd_hsmp wawonjezedwa kuti athandizire mawonekedwe a AMD HSMP (Host System Management Port), omwe amapereka mwayi wogwiritsa ntchito processor management kudzera m'marejista apadera omwe adawonekera mu ma processor a AMD EPYC kuyambira ndi m'badwo wa Fam19h. Mwachitsanzo, kudzera mu HSMP mutha kupeza zambiri pakugwiritsa ntchito mphamvu ndi kutentha, kuyika malire pafupipafupi, yambitsani njira zosiyanasiyana zolimbikitsira magwiridwe antchito, ndikuwongolera magawo amakumbukiro.
    • Maonekedwe a io_uring asynchronous I/O amaika njira ya IORING_SETUP_SUBMIT_ALL yolembetsa mndandanda wa zofotokozera mafayilo mu ring bafa, ndi ntchito ya IORING_OP_MSG_RING kutumiza chizindikiro kuchokera pa mphete imodzi kupita ku ring ina.
    • Dongosolo la DAMOS (Data Access Monitoring-based Operation Schemes), lomwe limalola kukumbukira kumasulidwa poganizira kuchuluka kwa kukumbukira kukumbukira, lakulitsa luso loyang'anira ntchito zamakumbukiro kuchokera pamalo ogwiritsa ntchito.
    • Mndandanda wachitatu wa zigamba zakhala zikuphatikizidwa ndi kukhazikitsidwa kwa lingaliro la masamba a masamba, omwe amafanana ndi masamba apawiri, koma apititsa patsogolo semantics ndi bungwe lomveka bwino la ntchito. Kugwiritsa ntchito ma tomes kumakupatsani mwayi wofulumizitsa kasamalidwe ka kukumbukira muzinthu zina za kernel. M'magawo omwe akufunsidwa, ntchito zowongolera zokumbukira zamkati zidamasuliridwa kukhala ma folios, kuphatikiza kusiyanasiyana kwa ntchito ya get_user_pages(). Anapereka chithandizo chopanga mavoliyumu akuluakulu mu code yowerengera patsogolo.
    • Dongosolo la msonkhano tsopano limathandizira USERCFLAGS ndi USERLDFLAGS zosintha zachilengedwe, zomwe mutha kupatsira mbendera zowonjezera kwa wopanga ndi wolumikizira.
    • Mu gawo laling'ono la eBPF, makina a BTF (BPF Type Format), omwe amapereka chidziwitso chamtundu wa BPF pseudocode, amapereka mwayi wowonjezera mawu osinthika omwe amatchula malo okumbukira m'malo ogwiritsa ntchito. Mawu ofotokozera amathandizira dongosolo lotsimikizira ma code a BPF kuzindikira bwino ndikutsimikizira zofikira pamtima.
    • Chothandizira chatsopano chosungiramo mapulogalamu a BPF chodzaza chaperekedwa, chomwe chimalola kugwiritsa ntchito bwino kukumbukira nthawi zomwe mapulogalamu ambiri a BPF amadzaza.
    • MADV_DONTNEED_LOCKED mbendera yawonjezedwa ku madvise() system call, yomwe imapereka zida zowongolera kasamalidwe ka kukumbukira, zomwe zimakwaniritsa MADV_DONTNEED mbendera yomwe ilipo, yomwe kernel imatha kudziwitsidwa pasadakhale za kutulutsidwa kwa memory block, i.e. kuti chipikachi sichikufunikanso ndipo chitha kugwiritsidwa ntchito ndi kernel. Mosiyana ndi MADV_DONTNEED, kugwiritsa ntchito mbendera ya MADV_DONTNEED_LOCKED ndikololedwa pamasamba okumbukira omwe amaikidwa mu RAM, omwe, madvise atayitanidwa, amachotsedwa popanda kusintha mawonekedwe awo ndipo, pakachitika mwayi wofikira ku block ndi kupanga "tsamba". cholakwa,” amabwezedwa ndi kumangako kusungika. Kuphatikiza apo, kusintha kwawonjezedwa kuti mbendera ya MADV_DONTNEED igwiritsidwe ntchito ndi masamba akulu okumbukira mu HugeTLB.
  • Virtualization ndi Chitetezo
    • Pazomangamanga za x86, chithandizo chawonjezedwa ku Intel IBT (Indirect Branch Tracking) yoteteza kayendedwe ka malamulo, yomwe imalepheretsa kugwiritsa ntchito njira zomangira zogwiritsira ntchito njira zobwerera (ROP, Return-Oriented Programming), momwe amapezerapo mwayi. imapangidwa mwa mawonekedwe a unyolo wamayitanidwe omwe alipo kale kukumbukira zidutswa zamakina a malangizo omaliza ndi malangizo obwerera kuwongolera (monga lamulo, izi ndizo malekezero a ntchito). Chofunikira cha njira yotetezera yomwe idakhazikitsidwa ndikuletsa kusintha kosalunjika ku thupi la ntchitoyo powonjezera malangizo apadera a ENDBR koyambirira kwa ntchitoyi ndikulola kuti pakhale kusintha kosalunjika pokhapokha ngati mutasintha kupita ku malangizowa (osalunjika. kuyimba kudzera pa JMP ndipo CALL iyenera kugwa nthawi zonse pa malangizo a ENDBR, omwe amayikidwa koyambira koyambira).
    • Yathandizira kuyang'anitsitsa malire a buffer mu memcpy(), memmove() ndi memset() ntchito, zomwe zimachitika panthawi yophatikiza pomwe mawonekedwe a CONFIG_FORTIFY_SOURCE ayatsidwa. Kusintha kowonjezerako kumafikira pakuwunika ngati zinthu zanyumba zomwe kukula kwake kumadziwika zimadutsa malire. Zimadziwika kuti zomwe zakhazikitsidwa zitha kulola kuletsa kusefukira kwa kernel buffer yokhudzana ndi memcpy() yomwe yadziwika zaka zitatu zapitazi.
    • Anawonjezera gawo lachiwiri la kachidindo kuti akhazikitse kusinthidwa kwa RDRAND pseudo-random number jenereta, yomwe imayang'anira ntchito ya /dev/random ndi /dev/urandom. Kukhazikitsa kwatsopanoko ndikodziwika pakugwirizanitsa magwiridwe antchito a / dev/mwachisawawa ndi / dev/urandom, ndikuwonjezera chitetezo kuti zisawonekere zobwerezabwereza mumtsinje wa manambala mwachisawawa poyambitsa makina enieni, ndikusintha kugwiritsa ntchito BLAKE2s hashi ntchito m'malo mwa SHA1 ya. ntchito zosakanikirana za entropy. Kusinthaku kunapititsa patsogolo chitetezo cha jenereta ya nambala yachinyengo pochotsa zovuta za SHA1 algorithm ndikuchotsa kulembedwanso kwa vector yoyambira ya RNG. Popeza ma algorithm a BLAKE2s ndi apamwamba kuposa SHA1 pakuchita, kugwiritsidwa ntchito kwake kunalinso ndi zotsatira zabwino pakuchita.
    • Pazomangamanga za ARM64, chithandizo chawonjezeredwa ku algorithm yatsopano yotsimikizira pointer - "QARMA3", yomwe imathamanga kwambiri kuposa algorithm ya QARMA ndikusunga chitetezo choyenera. Ukadaulo umakupatsani mwayi wogwiritsa ntchito malangizo apadera a ARM64 kuti mutsimikizire maadiresi obwerera pogwiritsa ntchito siginecha ya digito yomwe imasungidwa m'malo osagwiritsidwa ntchito kumtunda kwa cholozera chokha.
    • Pazomangamanga za ARM64, chithandizo chakhazikitsidwa kuti chiphatikizidwe ndikuphatikizidwa mu GCC 12 ya njira yodzitchinjiriza kuti isalembenso adilesi yobwerera kuchokera kuntchito pakasefukira kwa buffer pa stack. Chofunikira cha chitetezo ndikusunga adilesi yobwerera mumtundu wina wa "mthunzi" mutatha kusamutsa kuwongolera ku ntchito ndikubwezeretsanso adilesiyi musanatuluke.
    • Anawonjezera keyring yatsopano - "makina", okhala ndi makiyi a eni ake (MOK, Makiyi Owner Machine), omwe amathandizidwa mu bootloader ya shim. Makiyi awa atha kugwiritsidwa ntchito kusaina zigawo za kernel zomwe zapakidwa poyambira boot (mwachitsanzo, ma module a kernel).
    • Kuchotsa kuthandizira kwa makiyi achinsinsi a asymmetric a TPMs, omwe adaperekedwa mumtundu wa TPM, anali ndi zovuta zodziwika bwino zachitetezo, ndipo sizinagwiritsidwe ntchito kwambiri.
    • Kutetezedwa kowonjezera kwa data yokhala ndi mtundu wa size_t kuti isasefukire. Khodiyo imaphatikizapo osamalira size_mul (), size_add () ndi size_sub (), zomwe zimakulolani kuti muchulukitse, kuwonjezera ndi kuchotsa kukula ndi mtundu size_t.
    • Pomanga kernel, mbendera za "-Warray-bounds" ndi "-Wzero-length-bounds" zimayatsidwa, zomwe zimawonetsa machenjezo pamene ndondomekoyo idutsa malire amtundu uliwonse komanso pamene maulendo a zero-utali akugwiritsidwa ntchito.
    • Chipangizo cha virtio-crypto chawonjezera chithandizo cha kubisa pogwiritsa ntchito algorithm ya RSA.
  • Network subsystem
    • Pakukhazikitsa milatho yamanetiweki, chithandizo chamayendedwe omangira doko (njira yokhoma) yawonjezedwa, momwe wogwiritsa ntchito amatha kutumiza magalimoto kudzera padoko pokhapokha adilesi yovomerezeka ya MAC. Kuthekera kogwiritsa ntchito zida zingapo kuti muwunikire momwe protocol ya STP (Spanning Tree Protocol) idawonjezedwa. M'mbuyomu, ma VLAN amatha kujambulidwa mwachindunji ku STP (1: 1), ndi VLAN iliyonse imayendetsedwa palokha. Mtundu watsopano umawonjezera mst_enable parameter, ikathandizidwa, dziko la VLAN limayang'aniridwa ndi gawo la MST (Multiple Spanning Trees) ndipo kumangirira kwa VLAN kungagwirizane ndi mtundu wa M: N.
    • Ntchito inapitilira pakuphatikiza zida mumagulu a netiweki kuti azitsatira zifukwa zogwetsera mapaketi (zizindikiro). Chifukwa chake chimatumizidwa pamene chikumbukiro chokhudzana ndi paketi chimamasulidwa ndipo chimalola zinthu monga kutayika kwa paketi chifukwa cha zolakwika zamutu, kuzindikira kwa rp_filter spoofing, checksum yosavomerezeka, kukumbukira, IPSec XFRM malamulo anayambitsa, nambala yosavomerezeka ya TCP, etc.
    • Ndizotheka kusamutsa mapaketi a netiweki kuchokera ku mapulogalamu a BPF omwe akhazikitsidwa kuchokera ku malo ogwiritsira ntchito mu BPF_PROG_RUN mode, momwe mapulogalamu a BPF amachitira mu kernel, koma bweretsani zotsatira ku malo ogwiritsira ntchito. Mapaketi amafalitsidwa pogwiritsa ntchito kachipangizo kakang'ono ka XDP (eXpress Data Path). Njira yopangira paketi yokhazikika imathandizidwa, momwe purosesa ya XDP imatha kulozera mapaketi a netiweki pa ntchentche kupita ku stack network kapena ku zida zina. Ndizothekanso kupanga opanga mapulogalamu amtundu wakunja kapena mafelemu olowa m'malo mwamanetiweki.
    • Pamapulogalamu a BPF omwe amaphatikizidwa ndi magulu amtaneti, ntchito zothandizira zaperekedwa kuti zikhazikitse momveka bwino mtengo wobwerera wamayimbidwe adongosolo, zomwe zimapangitsa kuti zitheke kufotokozera zambiri zazifukwa zotsekereza kuyimba foni.
    • Dongosolo la XDP (eXpress Data Path) lawonjezera chithandizo pamapaketi ogawika omwe amaikidwa m'mabafa angapo, omwe amakulolani kuti muzitha kukonza mafelemu a Jumbo mu XDP ndikugwiritsa ntchito TSO/GRO (TCP Segmentation Offload/Generic Receive Offload) ya XDP_REDIRECT.
    • Njira yochotsera malo ochezera a pa intaneti yakhala ikufulumira kwambiri, zomwe zinali zofunika pa machitidwe ena akuluakulu okhala ndi kuchuluka kwa magalimoto.
  • Zida
    • Dalaivala wa amdgpu mwachisawawa akuphatikiza ukadaulo wa FreeSync adaptive synchronization, womwe umakupatsani mwayi wosintha kuchuluka kwa zidziwitso pazenera, kuwonetsetsa kuti zithunzi zosalala komanso zopanda misozi mukusewera ndi kuwonera makanema. Thandizo la Aldebaran GPU lalengezedwa ngati lokhazikika.
    • Dalaivala wa i915 amawonjezera chithandizo cha tchipisi cha Intel Alderlake N ndi makadi azithunzi a Intel DG2-G12 (Arc Alchemist).
    • Dalaivala wa Nouveau amapereka chithandizo cha ma bitrate okwera pamakina a DP/eDP ndikuthandizira ma lttprs (Link-Training Tunable PHY Repeaters) zowonjezera chingwe.
    • Mu drm (Direct Rendering Manager) mu madalaivala armada, exynos, gma500, hyperv, imx, ingenic, mcde, mediatek, msm, omap, rcar-du, rockchip, sprd, sti, tegra, tilcdc, xen ndi vc4 parameter thandizo. yawonjezeredwa nomodeset, yomwe imakupatsani mwayi woletsa kusintha makanema pamlingo wa kernel ndikugwiritsa ntchito zida zothamangitsira zida za Hardware, ndikusiya magwiridwe antchito okhudzana ndi dongosolo la framebuffer.
    • Thandizo lowonjezera la ARM SoΠ‘ Qualcomm Snapdragon 625/632 (yomwe imagwiritsidwa ntchito mu LG Nexus 5X ndi Fairphone FP3 mafoni a m'manja), Samsung Exynos 850, Samsung Exynos 7885 (yomwe imagwiritsidwa ntchito mu Samsung Galaxy A8), Airoha (Mediatek/EcoNet) EN7523, MediatekMT6582o5008 Mt3 piritsi 966G), Microchip Lan2, Renesas RZ/G2LC, RZ/V3L, Tesla FSD, TI K62/AMXNUMX ndi i.MXRTxxxx.
    • Zowonjezera zothandizira zida ndi ma board a ARM kuchokera ku Broadcom (Raspberry Pi Zero 2 W), Qualcomm (Google Herobrine R1 Chromebook, SHIFT6mq, Samsung Galaxy Book2), Rockchip (Pine64 PineNote, Bananapi-R2-Pro, STM32 Emtrion emSBS, Samsung Galaxy Tab S , Prestigio PMT5008 3G tablet), Allwinner (A20-Marsboard), Amlogic (Amediatek X96-AIR, CYX A95XF3-AIR, Haochuangy H96-Max, Amlogic AQ222 ndi OSMC Vero 4K+), Aspeed (Quanta S6Qvell MBU8, ASMRock), / Armada (Ctera C3 V200 ndi V1 NAS), Mstar (DongShanPiOne, Miyoo Mini), NXP i.MX (Protonic PRT2MM, emCON-MX8M Mini, Toradex Verdin, Gateworks GW8).
    • Thandizo lowonjezera la machitidwe amawu ndi ma codec AMD PDM, Atmel PDMC, Awinic AW8738, i.MX TLV320AIC31xx, Intel CS35L41, ESSX8336, Mediatek MT8181, nVidia Tegra234, Qualcomm SC7280, Renesas2L, 585 Instruments RZ234 Texas. Kukhazikitsa koyambirira koyendetsa kwamawu kwa Intel AVS DSP chip. Thandizo loyendetsa madalaivala la Intel ADL ndi TegraXNUMX, ndikupanga zosintha kuti zithandizire pazida za Dell, HP, Lenovo, ASUS, Samsung ndi Clevo.

    Nthawi yomweyo, Latin American Free Software Foundation idapanga mtundu wa kernel yaulere 5.18 - Linux-libre 5.18-gnu, yochotsedwa pazinthu za firmware ndi madalaivala omwe ali ndi zida zopanda ufulu kapena magawo amakhodi, kukula kwake kuli kochepa. ndi wopanga. Kutulutsidwa kwatsopano kumatsuka madalaivala a mapanelo a MIPI DBI, VPU Amphion, WiFi MediaTek MT7986 WMAC, Mediatek MT7921U (USB) ndi Realtek 8852a/8852c, Intel AVS ndi Texas Instruments TAS5805M tchipisi tomveka. Mafayilo a DTS adatsukidwanso ma Qualcomm SoC osiyanasiyana okhala ndi ma processor kutengera kapangidwe ka AArch64. Ma code oyeretsera ma blob osinthidwa mu madalaivala ndi ma subsystems a AMD GPU, MediaTek MT7915, Silicon Labs WF200+ WiFi, Mellanox Spectru Ethernet, Realtek rtw8852c, Qualcomm Q6V5, Wolfson ADSP, MediaTek HCI UART.

Source: opennet.ru

Kuwonjezera ndemanga