Kutulutsidwa kwa kernel ya Linux 5.6

Pambuyo pa miyezi iwiri ya chitukuko, Linus Torvalds anayambitsa kutulutsidwa kwa kernel Linux 5.6. Zina mwa zosintha zodziwika bwino: kuphatikiza mawonekedwe a WireGuard VPN, kuthandizira kwa USB4, malo osungira nthawi, kuthekera kopanga zida za TCP zogwiritsa ntchito BPF, kuthandizira koyambirira kwa MultiPath TCP, kuchotsa kernel ya vuto la 2038, makina a "bootconfig" , ZoneFS.

Mtundu watsopanowu ukuphatikiza zosintha 13702 kuchokera kwa opanga 1810,
kukula kwa chigamba - 40 MB (zosintha zidakhudza mafayilo a 11577, mizere ya 610012 yamakhodi idawonjezedwa,
294828 mizere yachotsedwa). Pafupifupi 45% ya onse operekedwa mu 5.6
zosintha zokhudzana ndi madalaivala a chipangizo, pafupifupi 15% ya zosintha ndizo
malingaliro okhudza kukonzanso kachidindo kamangidwe ka hardware, 12%
yolumikizidwa ndi stack network, 4% yokhala ndi mafayilo amafayilo ndi 3% ndi mkati
kernel subsystems.

waukulu zatsopano:

  • Network subsystem
    • Zowonjezedwa kukhazikitsa mawonekedwe a VPN WireGuard, yomwe ikugwiritsidwa ntchito pogwiritsa ntchito njira zamakono zolembera (ChaCha20, Poly1305, Curve25519, BLAKE2s), ndi yosavuta kugwiritsa ntchito, popanda zovuta, yadziwonetsera yokha m'magulu angapo akuluakulu ndipo imapereka ntchito zapamwamba kwambiri (nthawi 3,9 mofulumira kuposa OpenVPN ponena za za throughput). WireGuard amagwiritsa ntchito lingaliro la encryption key routing, yomwe imaphatikizapo kuyika kiyi yachinsinsi pa intaneti iliyonse ndikuigwiritsa ntchito kumanga makiyi a anthu onse. Makiyi apagulu amasinthidwa kuti akhazikitse kulumikizana mofanana ndi SSH. Zoyamba za Cryptographic zofunika kuti WireGuard igwire ntchito zinali kupitirizidwa kuchokera ku library nthaka monga gawo la muyezo Crypto API ndi kuphatikizapo mu mtima 5.5.
    • Anayamba kuphatikizika kwa zigawo zofunikira kuti zithandizire MPTCP (MultiPath TCP), kukulitsa kwa protocol ya TCP yokonzekera kugwiritsa ntchito kulumikizana kwa TCP ndi kutumiza mapaketi nthawi imodzi m'njira zingapo kudzera pamaneti osiyanasiyana olumikizidwa ndi ma adilesi osiyanasiyana a IP. Pamapulogalamu a netiweki, kulumikizana kophatikizika koteroko kumawoneka ngati kulumikizana kwanthawi zonse kwa TCP, ndipo malingaliro onse olekanitsa otaya amachitidwa ndi MPTCP. Multipath TCP ingagwiritsidwe ntchito powonjezera kupititsa patsogolo ndikuwonjezera kudalirika. Mwachitsanzo, MPTCP ingagwiritsidwe ntchito pokonzekera kutumiza deta pa foni yamakono pogwiritsa ntchito maulalo a WiFi ndi 4G nthawi imodzi, kapena kuchepetsa ndalama pogwirizanitsa seva pogwiritsa ntchito maulalo angapo otsika mtengo m'malo mwa mtengo umodzi.
    • Zowonjezedwa kuthandizira pa network queue processing discipline sch_ets (Kusankha Kutumiza Kwawonjezedwa, IEEE 802.1Qaz), yomwe imapereka mwayi wogawa bandwidth pakati pa magulu osiyanasiyana a magalimoto. Ngati katundu pagulu linalake la magalimoto ali pansi pa bandwidth yomwe yaperekedwa, ndiye kuti ETS imalola makalasi ena amsewu kuti agwiritse ntchito bandwidth yomwe ilipo (yosagwiritsidwa ntchito). Qdisc sch_ets imakonzedwa ngati chilango cha PRIO ndipo imagwiritsa ntchito makalasi amsewu kuti afotokoze malire okhwima komanso ogawana nawo. ETS imagwira ntchito ngati kuphatikiza kwa maphunziro PRIO ΠΈ DRR - ngati pali magulu ochepa a magalimoto, PRIO imagwiritsidwa ntchito, koma ngati palibe magalimoto pamzere, imagwira ntchito ngati DRR.
    • Anawonjezera mtundu watsopano wa mapulogalamu a BPF BPF_PROG_TYPE_STRUCT_OPS, zomwe zimakupatsani mwayi wogwiritsa ntchito kernel function handles kudzera mu BPF. Pakadali pano, izi zitha kugwiritsidwa ntchito kale kukhazikitsa ma aligorivimu a TCP munjira ya mapulogalamu a BPF. Mwachitsanzo akufuna Pulogalamu ya BPF yokhala ndi kukhazikitsidwa kwa algorithm Mtengo wa DCCTCP.
    • Adalandiridwa pachimake kusintha, zida zomasulira Zamgululi ndi ioctl() kuti mugwiritse ntchito netlink mawonekedwe. Mawonekedwe atsopanowa amapangitsa kuti zikhale zosavuta kuwonjezera zowonjezera, kuwongolera kuwongolera zolakwika, kulola kuti zidziwitso zitumizidwe pamene dziko likusintha, kumathandizira kulumikizana pakati pa kernel ndi malo ogwiritsa ntchito, ndikuchepetsa kuchuluka kwa mindandanda yotchulidwa yomwe ikufunika kulumikizidwa.
    • Kukhazikitsa kowonjezera kwa FQ-PIE (Flow Queue PIE) network queue management aligorivimu, yomwe cholinga chake ndi kuchepetsa kusokoneza kwa paketi yapakatikati pazida zam'mphepete mwa netiweki (bufferbloat). FQ-PIE imasonyeza bwino kwambiri ikagwiritsidwa ntchito mu machitidwe okhala ndi ma modemu a chingwe.
  • Disk Subsystem, I/O ndi File Systems
    • Kwa fayilo ya Btrfs anawonjezera Kukhazikitsa mosasunthika kwa DISCARD (kulemba zilembo zomasulidwa zomwe sizikufunikanso kusungidwa mwakuthupi). Poyambirira, ntchito za DISCARD zinkachitidwa mogwirizana, zomwe zingayambitse kuwonongeka kwa ntchito chifukwa cha ma drive omwe akudikirira kuti malamulo oyenerera amalize. Kukhazikitsa kwa Asynchronous kumakupatsani mwayi kuti musadikire kuti galimotoyo imalize DISCARD ndikuchita izi kumbuyo.
    • Mu XFS zidachitidwa Kuyeretsa ma code omwe amagwiritsa ntchito zowerengera zakale za 32-bit (mtundu wa time_t udasinthidwa ndi time64_t), zomwe zidabweretsa vuto la 2038. Zolakwika zokhazikika ndi kuwonongeka kwa kukumbukira zomwe zidachitika pamapulatifomu a 32-bit. Khodiyo idakonzedwanso kuti igwire ntchito ndi mawonekedwe owonjezera.
    • Kutulutsa fayilo ya ext4 anayambitsa Kukhathamiritsa kwa magwiridwe antchito okhudzana ndi kutseka kwa inode panthawi yowerenga ndi kulemba. Kulembanso kwabwino mumayendedwe a Direct I/O. Kuti muchepetse kuzindikirika kwamavuto, ma code olakwika oyamba ndi omaliza amasungidwa mu superblock.
    • Pa fayilo ya F2FS zakhazikitsidwa kuthekera kosunga deta mu mawonekedwe othinikizidwa. Pa fayilo kapena chikwatu, kukakamiza kumatha kuthandizidwa pogwiritsa ntchito lamulo "chattr +c file" kapena "chattr +c dir; touch dir/file". Kuti muchepetse magawo onse, mutha kugwiritsa ntchito njira ya "-o compress_extension=ext" muzogwiritsa ntchito.
    • Kernel imaphatikizapo fayilo ya fayilo MaloFS, yomwe imapangitsa kuti ntchito yotsika kwambiri ikhale ndi zida zosungirako zone. Ma zoned amatanthawuza zida pa hard magnetic disks kapena NVMe SSDs, malo osungiramo omwe amagawidwa m'madera omwe amapanga magulu a midadada kapena magawo, momwe kuwonjezeredwa kwa deta kumaloledwa, kukonzanso gulu lonse la midadada. FS ZoneFS inapangidwa ndi Western Digital ndipo imagwirizanitsa chigawo chilichonse pagalimoto ndi fayilo yosiyana yomwe ingagwiritsidwe ntchito kusunga deta mu mawonekedwe aiwisi popanda kusokoneza pa gawo ndi mlingo wa block, i.e. Amalola mapulogalamu kugwiritsa ntchito fayilo ya API m'malo molowa mwachindunji pachipangizo chotchinga pogwiritsa ntchito ioctl.
    • Mu NFS, kuyika magawo pa UDP kumayimitsidwa mwachisawawa. Thandizo lowonjezera pakutha kukopera mafayilo mwachindunji pakati pa ma seva, ofotokozedwa mu ndondomeko ya NFS 4.2. Anawonjezera njira yatsopano yokwera "softreval", yomwe imalola kuti mikhalidwe yosungidwa igwiritsidwe ntchito ngati seva ikulephera. Mwachitsanzo, pofotokoza izi, seva ikasowa, zimakhala zotheka kusuntha njira zomwe zili mu gawo la NFS ndikupeza zambiri zomwe zakhazikika mu cache.
    • Zidachitidwa kukhathamiritsa kwa magwiridwe antchito a fs-verity mechanism, yomwe imagwiritsidwa ntchito kuyang'anira kukhulupirika ndi kutsimikizika kwa mafayilo amtundu uliwonse. Kuchulukitsa liwiro lowerengera motsatizana chifukwa chogwiritsa ntchito mtengo wa Merkle hashi. Kagwiridwe ka ntchito ka FS_IOC_ENABLE_VERITY akongoletsedwa ngati palibe deta mu cache (kuwerengera mwachangu masamba omwe ali ndi data agwiritsidwa ntchito).
  • Virtualization ndi Chitetezo
    • Kutha kuletsa gawo la SELinux pomwe ikuyenda kwatsitsidwa, ndipo kutsitsa SELinux yomwe yakhazikitsidwa kale kudzaletsedwa m'tsogolomu. Kuti mulepheretse SELinux muyenera kudutsa "selinux = 0" parameter pamzere wa kernel command.
    • Zowonjezedwa kuthandizira kwa malo a mayina a nthawi (malo a mayina a nthawi), kukulolani kuti mumange mawonekedwe a wotchi ku chidebe (CLOCK_REALTIME,
      CLOCK_MONOTONIC, CLOCK_BOOTTIME), gwiritsani ntchito nthawi yanu mumtsuko ndipo, posamutsa chidebecho kupita kumalo ena ochereza, onetsetsani kuti CLOCK_MONOTONIC ndi CLOCK_BOOTTIME zowerengera sizisintha (ganizirani nthawi mutatha kutsitsa, kapena osaganizira kukhala mukugona. ).

    • Dziwe la /dev/random blocking dziwe lachotsedwa. Makhalidwe a / dev/chisawawa ndi ofanana ndi / dev/urandom pankhani yoletsa kutsekeka kwa entropy pambuyo poyambitsa dziwe.
    • Kernel yayikulu imaphatikizapo dalaivala yemwe amalola makina a alendo omwe akuyendetsa VirtualBox kuti akhazikitse maulalo omwe amatumizidwa ndi malo omwe ali nawo (VirtualBox Shared Folder).
    • Magulu a zigamba awonjezedwa ku gawo laling'ono la BPF (BPF dispatcher), mukamagwiritsa ntchito njira ya Retpoline kuti mutetezere ku gulu la Specter V2, imakupatsani mwayi wowonjezera luso loyimbira mapulogalamu a BPF pakakhala zochitika zomwe zimagwirizana nawo (mwachitsanzo, zimapangitsa kuti zitheke kufulumizitsa kuyimba kwa othandizira XDP ngati packet network ifika).
    • Dalaivala wowonjezera kuti athandizire TEE (Trusted Execution Environment) yomangidwa mu AMD APUs.
  • Memory ndi ntchito zadongosolo
    • BPF yawonjezera chithandizo cha ntchito zapadziko lonse lapansi. Chitukuko chikuchitika ngati gawo la njira yowonjezerapo chithandizo cha malaibulale a ntchito zomwe zingaphatikizidwe mu mapulogalamu a BPF. Chotsatiracho chidzakhala kuthandizira zowonjezera zomwe zimalola kuti ntchito zapadziko lonse zikhazikitsidwe, kuphatikizapo kusintha ntchito zomwe zilipo padziko lonse pamene zikugwiritsidwa ntchito. Dongosolo laling'ono la BPF limawonjezeranso chithandizo chamitundu yosiyanasiyana yamapu (omwe amagwiritsidwa ntchito kusunga deta yosalekeza), yomwe imathandizira kuphedwa munjira ya batch.
    • Yowonjezedwa ndi Chipangizo cha "cpu_cooling" chimakulolani kuziziritsa CPU yotentha kwambiri poyiyika pamalo opanda pake kwakanthawi kochepa.
    • Adawonjezera kuyimba kwadongosolo kutsegula2 (), yomwe imapereka mndandanda wa mbendera zowonjezera kuti zichepetse njira yothetsera mafayilo (kuletsa kuwoloka malo okwera, maulalo ophiphiritsa, maulalo amatsenga (/proc/PID/fd), "../" zigawo).
    • Kwa machitidwe osiyanasiyana otengera kamangidwe kakulu.LITTLE, kuphatikiza ma CPU cores amphamvu komanso osachita bwino kwambiri mu chip chimodzi, parameter ya uclamp_min imayikidwa pochita ntchito zenizeni (zatulukira mu kernel 5.3 pali njira yopezera katundu). Izi zimatsimikizira kuti ntchitoyi idzayikidwa ndi wokonza pa CPU core yomwe ili ndi ntchito yokwanira.
    • Njere yamasulidwa mavuto a 2038. M'malo mwa omaliza otsala, omwe adagwiritsa ntchito 32-bit (signed int) type time_t pa epochal time counter, yomwe, poganizira lipoti la 1970, iyenera kusefukira mu 2038.
    • Kupititsa patsogolo kusinthika kwa mawonekedwe asynchronous I/O io_kunenamomwe kupereka zothandizira zatsopano: IORING_OP_FALLOCATE (kusungitsa malo opanda kanthu), IORING_OP_OPENAT,
      IORING_OP_OPENAT2,
      IORING_OP_CLOSE (kutsegula ndi kutseka mafayilo),
      IORING_OP_FILES_UPDATE (kuwonjezera ndi kuchotsa mafayilo pamndandanda wofikira mwachangu),
      IORING_OP_STATX (zofuna zambiri zamafayilo),
      IORING_OP_WERENGA,
      IORING_OP_WRITE (zofananira zophweka za IORING_OP_READV ndi IORING_OP_WRITEV),
      IORING_OP_FADVISE,
      IORING_OP_MADVISE (mitundu yosiyanasiyana ya mafoni posix_fadvise ndi madvise), IORING_OP_SEND,
      IORING_OP_RECV (kutumiza ndi kulandira data ya netiweki),
      IORING_OP_EPOLL_CTL (chitani ntchito pazofotokozera zamafayilo a epoll).

    • Adawonjezera kuyimba kwadongosolo pidfd_getfd(), kulola njira yopezera fayilo yofotokozera fayilo yotseguka kuchokera munjira ina.
    • Zakhazikitsidwa makina a "bootconfig", omwe amalola, kuwonjezera pa zosankha za mzere wa malamulo, kuti adziwe magawo a kernel kupyolera mu fayilo ya zoikamo. Kuti muwonjezere mafayilo oterowo pa chithunzi cha initramfs, ntchito ya bootconfig ikufunsidwa. Izi zitha kugwiritsidwa ntchito, mwachitsanzo, kukonza ma kprobe panthawi yoyambira.
    • Zokonzedwanso njira yodikirira kulemba ndi kuwerenga deta mu mapaipi osadziwika. Kusinthaku kunapangitsa kuti zitheke kufulumizitsa ntchito monga kusonkhana pamodzi kwa ntchito zazikulu. Komabe, kukhathamiritsa kumatha kubweretsa mtundu wamtundu wa GNU chifukwa cha cholakwika mu kutulutsidwa kwa 4.2.1, komwe kudakhazikitsidwa mu mtundu 4.3.
    • Onjezani mbendera ya PR_SET_IO_FLUSHER ku prctl(), yomwe ingagwiritsidwe ntchito polemba njira zopanda kukumbukira zomwe siziyenera kukhala ndi malire pomwe makinawo sakumbukira.
    • Kutengera makina ogawa kukumbukira a ION omwe amagwiritsidwa ntchito mu Android, kachitidwe kakang'ono kakhazikitsidwa dma-buf milu, zomwe zimakupatsani mwayi wowongolera kugawidwa kwa ma buffers a DMA pogawana malo okumbukira pakati pa madalaivala, mapulogalamu ndi ma subsystems osiyanasiyana.
  • Zomangamanga za Hardware
    • Thandizo lowonjezera pakuwonjezedwa kwa E0PD, lomwe lidawonekera mu ARMv8.5 ndipo limalola chitetezo ku zowukira zokhudzana ndi kuperekedwa mongopeka kwa malangizo pa CPU. Chitetezo chochokera ku E0PD chimabweretsa kutsika kwapamwamba kuposa chitetezo cha KPTI (Kernel Page Table Isolation).
    • Kwa machitidwe ozikidwa pa kamangidwe ka ARMv8.5, chithandizo cha malangizo a RNG awonjezedwa, kupereka mwayi kwa hardware pseudo-random number jenereta. Mu kernel, malangizo a RNG amagwiritsidwa ntchito kupanga entropy poyambitsa kernel-yoperekedwa ndi pseudo-random number jenereta.
    • Thandizo lochotsedwa la MPX (Zowonjezera Zoteteza Memory) zowonjezeredwa mu kernel 3.19 ndikukulolani kuti mukonze zowunikira kuti muwonetsetse kuti malire a malo okumbukira akulemekezedwa. Ukadaulo uwu sunagwiritsidwe ntchito kwambiri pakuphatikiza ndipo unachotsedwa ku GCC.
    • Pazomangamanga za RISC-V, kuthandizira kwa KASan (Kernel address sanitizer) chida chowongolera chakhazikitsidwa, chomwe chimathandiza kuzindikira zolakwika mukamakumbukira.
  • Zida
    • Thandizo lachidziwitso lakhazikitsidwa USB 4.0, yomwe imachokera ku ndondomeko ya Thunderbolt 3 ndipo imapereka maulendo opita ku 40 Gbps, ndikusunga kubwerera kumbuyo ndi USB 2.0 ndi USB 3.2. Poyerekeza ndi Chiphokoso Mawonekedwe a USB 4.0 amakupatsani mwayi wowongolera ma protocol osiyanasiyana pa chingwe chimodzi chokhala ndi cholumikizira Mtundu-C, kuphatikizapo PCIe, Display Port ndi USB 3.x, komanso kukhazikitsa mapulogalamu a mapulogalamu, mwachitsanzo, pokonzekera maulalo a netiweki pakati pa makamu. Kukhazikitsa kumamangirira pa dalaivala wa Thunderbolt wophatikizidwa kale mu Linux kernel ndikuisintha kuti igwire ntchito ndi makamu ndi zida zogwirizana ndi USB4. Zosinthazi zimawonjezeranso chithandizo cha zida za Thunderbolt 3 pakukhazikitsa mapulogalamu a Connection Manager, omwe ali ndi udindo wopanga ma tunnel olumikizira zida zingapo kudzera pa cholumikizira chimodzi.
    • Mu driver wa amdgpu anawonjezera chithandizo choyambirira cha HDCP 2.x (High-bandwidth Digital Content Protection) luso loteteza makope. Thandizo lowonjezera la AMD Pollock ASIC chip yochokera ku Raven 2. Inakhazikitsa mphamvu yokonzanso GPU ya mabanja a Renoir ndi Navi.
    • Dalaivala wa DRM wamakhadi avidiyo a Intel anawonjezera Thandizo la DSI VDSC la tchipisi totengera Ice Lake ndi Tiger Lake microarchitecture, LMEM mmap (chipangizo cham'deralo) chakhazikitsidwa, VBT (Video BIOS Table) parsing yasinthidwa, thandizo la HDCP 2.2 lakhazikitsidwa pa tchipisi ta Coffee Lake.
    • Ntchito idapitilira pakugwirizanitsa nambala yoyendetsa amdkfd (ya ma GPU osadziwika, monga Fiji, Tonga, Polaris) ndi dalaivala wa amdgpu.
    • Dalaivala wa k10temp wakonzedwanso, ndikuwonjezera chithandizo chowonetsera magetsi ndi magawo amakono a AMD Zen CPUs, komanso chidziwitso chowonjezereka kuchokera ku masensa a kutentha omwe amagwiritsidwa ntchito mu Zen ndi Zen 2 CPUs.
    • Mu driver wa Nouveau anawonjezera kuthandizira pamayendedwe otsimikizika a firmware a NVIDIA GPUs kutengera Turing microarchitecture (GeForce RTX 2000), zomwe zidapangitsa kuti zitheke kuthandizira kuthamangitsa kwa 3D kwamakhadi awa (kutsitsa firmware yovomerezeka ndi siginecha ya digito ya NVIDIA ndikofunikira). Thandizo lowonjezera la injini yazithunzi za TU10x. Mavuto ndi HD Audio atha.
    • Thandizo lowonjezera pakukanika kwa data mukatumizidwa kudzera pa DisplayPort MST (Multi-Stream Transport).
    • Adawonjezera driver watsopano"ndi 11kΒ» za tchipisi tating'ono ta Qualcomm tothandizira 802.11ax.
      Dalaivala amachokera pa mac80211 stack ndipo amathandizira pofikira, malo ogwirira ntchito ndi ma mesh network node modes.

    • Kupyolera mu ma sysfs, mwayi wowerengera zowerengera za kutentha zomwe zimagwiritsidwa ntchito pama hard drive amakono ndi ma SSD amaperekedwa.
    • Zatumizidwa kusintha kwakukulu pamawu omveka a ALSA, omwe cholinga chake ndi kuchotsa code ya mavuto a 2038 (kupewa kugwiritsa ntchito mtundu wa 32-bit time_t mu snd_pcm_mmap_status ndi snd_pcm_mmap_controls). Thandizo lowonjezera la ma codec atsopano
      Qualcomm WCD9340/WCD9341, Realtek RT700, RT711, RT715, RT1308, Ingenic JZ4770.

    • Zowonjezedwa madalaivala a mapanelo a LCD Logic PD 28, Jimax8729d MIPI-DSI, igenic JZ4770, Sony acx424AKP, Leadtek LTK500HD1829, Xinpeng XPP055C272, AUO G116XAK01, Giant940B0PM
      Chithunzi cha BOE NV140FHM-N49
      Mtengo wa SAT050AT40H12R2
      Chithunzi cha LS020B1DD01D

    • Zowonjezedwa kuthandizira ma board a ARM ndi nsanja za Gen1 Amazon Echo (OMAP3630-based), Samsung Galaxy S III mini (GT-I8190), Allwinner Emlid Neutis, Libre Computer ALL-H3-IT, PineH64 Model B, Aibretech Amlogic GX PC,
      Armada SolidRun Clearfog GTR, NXPGateworks GW59xx,
      Wowerenga eBook wa Tolino Shine 3,
      Ojambula Ophatikizidwa COM (i.MX7ULP), SolidRun Clearfog CX/ITX ndi HoneyComb (LX2160A), Google Coral Edge TPU (i.MX8MQ),
      Rockchip Radxa Dalang Carrier, Radxa Rock Pi N10, VMARC RK3399Pro SOM
      ST Ericsson HREF520, Inforce 6640, SC7180 IDP, Atmel/Microchip AM9X60 (ARM926 SoC, Kizboxmini), ST stm32mp15, AM3703/AM3715/DM3725, ST Ericsson ab8505, Unisoc, Qualcomm SC9863A7180. Thandizo lowonjezera la PCIe lomwe limagwiritsidwa ntchito mu Raspberry Pi 4.

Nthawi yomweyo, Latin American Free Software Foundation anapanga
njira kernel yaulere kwathunthu 5.6 - Linux-libre 5.6-gnu, kuchotsedwa kwa firmware ndi zinthu zoyendetsa galimoto zomwe zili ndi zigawo zopanda ufulu kapena zigawo za code, zomwe zimakhala zochepa ndi wopanga. Kutulutsidwa kwatsopanoku kumalepheretsa kutsitsa kwa blob mu madalaivala a AMD TEE, ATH11K ndi Mediatek SCP. Kusinthidwa kachidindo ka blob ku AMD PSP, amdgpu ndi madalaivala a nouveau ndi ma subsystems.

Source: opennet.ru

Kuwonjezera ndemanga