Kutulutsidwa kwa kernel ya Linux 5.9

Pambuyo pa miyezi iwiri ya chitukuko, Linus Torvalds anayambitsa kutulutsidwa kwa kernel Linux 5.9. Zina mwa zosintha zodziwika bwino: kuchepetsa kulowetsedwa kwa zizindikiro kuchokera ku ma module a eni kupita ku ma module a GPL, kufulumizitsa ntchito zosintha zinthu pogwiritsa ntchito malangizo a purosesa a FSGSBASE, kuthandizira kupsinjika kwa chithunzi cha kernel pogwiritsa ntchito Zstd, kukonzanso kuyika patsogolo kwa ulusi mu kernel, kuthandizira kwa PRP. (Parallel Redundancy Protocol) , bandwidth-aware scheduler in the deadline scheduler, preemptive packing of memory pages, capability flag CAP_CHECKPOINT_RESTOR, close_range() system call, dm-crypt performance performance, kuchotsa code kwa 32-bit Xen PV alendo, new slab memory kasamalidwe ka makina, njira "kupulumutsa" mu Btrfs, kuthandizira kwa inline encryption mu ext4 ndi F2FS.

Mtundu watsopanowu umaphatikizapo kukonza kwa 16074 kuchokera kwa opanga 2011,
kukula kwa chigamba - 62 MB (zosintha zinakhudza mafayilo a 14548, mizere ya 782155 ya code inawonjezeredwa, mizere ya 314792 inachotsedwa). Pafupifupi 45% ya onse operekedwa mu 5.9
zosintha zokhudzana ndi madalaivala a chipangizo, pafupifupi 15% ya zosintha ndizo
malingaliro okhudza kukonzanso kachidindo kamangidwe ka hardware, 13%
zokhudzana ndi stack network, 3% ku machitidwe amafayilo ndi 3% mkati
kernel subsystems.

waukulu zatsopano:

  • Memory ndi ntchito zadongosolo
    • Kumangika kutetezedwa ku kugwiritsidwa ntchito kwa zigawo za GPL polumikiza madalaivala omwe ali ndi kernel zomwe zimatumizidwa kunja kwa ma module okha pansi pa laisensi ya GPL. Mbendera ya TAINT_PROPRIETARY_MODULE tsopano yalandiridwa m'magawo onse omwe amalowetsa zizindikiro kuchokera kumagawo okhala ndi mbendera iyi. Ngati gawo la GPL likuyesera kulowetsa zizindikiro kuchokera ku gawo lomwe si la GPL, ndiye kuti gawo la GPL lidzalandira lebulo la TAINT_PROPRIETARY_MODULE ndipo silingathe kupeza zigawo za kernel zomwe zimangopezeka ku ma module okhala ndi chilolezo cha GPL, ngakhale gawolo lidatumizapo zizindikiro kuchokera gulu la "gplonly". Loko lakumbuyo (kutumiza EXPORT_SYMBOL_GPL kokha m'magawo omwe adatumiza kunja kwa EXPORT_SYMBOL_GPL), zomwe zingasokoneze ntchito ya madalaivala a eni ake, sizimayendetsedwa (ndi mbendera yokhayo yomwe imatengedwa, koma osati zomangira za GPL).
    • Zowonjezedwa kcompactd injini thandizo kwa kunyamula masamba okumbukira kumbuyo kuti muwonjezere kuchuluka kwamasamba akulu okumbukira omwe amapezeka ku kernel. Malinga ndi kuyerekezera koyambirira, kulongedza zakumbuyo, pamtengo wocheperako, kumatha kuchepetsa kuchedwa pakugawa masamba akulu okumbukira (tsamba lalikulu) ndi nthawi za 70-80 poyerekeza ndi njira yolongedza yomwe idagwiritsidwa ntchito kale, yomwe idakhazikitsidwa pakafunika (pofuna). ). Kukhazikitsa malire a kugawanika kwakunja komwe kcompactd kudzapereka, sysctl vm.compaction_proactiveness yawonjezedwa.
    • Zowonjezedwa kuthandizira kupsinjika kwazithunzi za kernel pogwiritsa ntchito algorithm zstandard (zstd).
    • Thandizo la malangizo a purosesa lakhazikitsidwa pamakina a x86 FSGSBASE, zomwe zimakulolani kuti muwerenge ndikusintha zomwe zili m'kaundula wa FS/GS kuchokera kumalo ogwiritsira ntchito. Mu kernel, FSGSBASE imagwiritsidwa ntchito kufulumizitsa kusintha kwa zochitika pochotsa ntchito zolembera za MSR zosafunikira za GSBASE, ndipo mu malo ogwiritsira ntchito zimapewa mafoni osafunika a dongosolo kuti asinthe FS/GS.
    • Awonjezedwa chizindikiro cha "allow_writes" chimakulolani kuletsa kusintha kwa zolembera za MSR za purosesa kuchokera kumalo ogwiritsira ntchito ndi kuchepetsa mwayi wa zomwe zili m'mabuku awa kuti muwerenge ntchito, popeza kusintha kwa MSR kungayambitse mavuto. Mwachikhazikitso, kulemba sikunalephereke, ndipo kusintha kwa MSR kumawonekera mu chipika, koma m'tsogolomu akukonzekera kusintha njira yofikira kuwerengera kokha.
    • Kwa mawonekedwe asynchronous I/O io_kunena Onjezani chithandizo chonse cha ma asynchronous buffered owerenga omwe safuna ulusi wa kernel. Thandizo lojambulira likuyembekezeka kumasulidwa mtsogolo.
    • Patsiku lomaliza la I/O scheduler zakhazikitsidwa kupanga malinga ndi luso, kulola pangani zisankho zolondola pamakina asymmetric monga machitidwe a ARM Zotsatira DynamIQ ndi zazikulu.LITTLE, zomwe zimaphatikiza ma CPU cores amphamvu komanso osachita bwino kwambiri pa chip chimodzi. Makamaka, mawonekedwe atsopanowa amakupatsani mwayi wopewa kukonza zolakwika pamene pang'onopang'ono CPU core ilibe zida zoyenera kumaliza ntchito pa nthawi yake.
    • Njira yogwiritsira ntchito mphamvu mu kernel (Energy Model framework) tsopano amafotokoza osati machitidwe ogwiritsira ntchito mphamvu a CPU okha, komanso amaphimba zida zotumphukira.
    • The close_range() system call yakhazikitsidwa kuti ilole njira yotseka mafotokozedwe otseguka a mafayilo nthawi imodzi.
    • Kuchokera pakukhazikitsidwa kwa text console ndi fbcon driver kodi yachotsedwa, yomwe imapereka mwayi wosinthira zolemba mmbuyo mwadongosolo (CONFIG_VGACON_SOFT_SCROLLBACK) mopitilira kuchuluka kwa kukumbukira kwamakanema a VGA.
    • Zokonzedwanso algorithm yogawa zofunikira ku ulusi mkati mwa kernel. Njira yatsopanoyi imapereka kusasinthika kwabwino pamasinthidwe onse a kernel popereka zofunikira ku ntchito zenizeni.
    • Wowonjezera sysctl sched_uclamp_util_min_rt_default kuti muwongolere zoikamo za CPU boost pa ntchito zenizeni zenizeni (mwachitsanzo, mutha kusintha machitidwe anthawi yeniyeni pa ntchentche kuti musunge mphamvu mutatha kusintha mphamvu ya batri kapena pama foni am'manja).
    • Zokonzekera zapangidwa kuti zithandizire ukadaulo wa Transparent Huge Pages mu cache yamasamba.
    • Injini ya fanotify imagwiritsa ntchito mbendera zatsopano za FAN_REPORT_NAME ndi FAN_REPORT_DIR_FID kuti lifotokoze dzina la kholo ndi chidziwitso chapadera cha FID pakapangidwa, kufufutidwa, kapena kusuntha kwa zinthu zikuchitika m'ndandanda wazinthu ndi zinthu zomwe sizili m'ndandanda.
    • Za magulu zakhazikitsidwa chowongolera chatsopano cha slab, chomwe ndi chodziwika bwino pakusuntha ma account a slab kuchokera pa tsamba lokumbukira kupita pamlingo wa chinthu cha kernel, zomwe zimapangitsa kuti zitheke kugawana masamba a slab m'magulu osiyanasiyana, m'malo mogawa ma cache a slab pagulu lililonse. Njira yomwe ikuperekedwayi imapangitsa kuti ziwonjezeke bwino kugwiritsa ntchito slab, kuchepetsa kukula kwa kukumbukira komwe kumagwiritsidwa ntchito pa slab ndi 30-45%, kuchepetsa kwambiri kukumbukira kukumbukira kernel ndikuchepetsa kugawikana kwa kukumbukira.
    • Mu subsystem yamawu ALSA ΠΈ USB stack, Malinga ndi posachedwapa anatengera malingaliro pakugwiritsa ntchito mawu ophatikizika mu Linux kernel; mawu olakwika pandale adatsukidwa. Khodiyo yachotsedwa pa mawu oti "kapolo", "mbuye", "blacklist" ndi "whitelist".
  • Virtualization ndi Chitetezo
    • Pomanga kernel pogwiritsa ntchito Clang compiler adawonekera kuthekera kosintha (CONFIG_INIT_STACK_ALL_ZERO) kuyambika kwa ziro zonse zomwe zasungidwa pa stack (pomanga, tchulani "-ftrivial-auto-var-init=zero").
    • Mu seccomp subsystem, mukamagwiritsa ntchito njira yoyendetsera ntchito mu malo ogwiritsa ntchito, anawonjezera mwayi m'malo mwa ofotokozera mafayilo munjira yoyang'aniridwa kuti atsanzire kwathunthu kuyimba kwamakina komwe kumatsogolera kupanga zofotokozera mafayilo. Ntchitoyi ikufunika m'makina otengera okha komanso kukhazikitsa sandbox kwa Chrome.
    • Pamapangidwe a xtensa ndi csky, chithandizo chawonjezedwa pakuchepetsa mafoni amtundu pogwiritsa ntchito seccomp subsystem. Kwa xtensa, chithandizo cha njira yowunikira chimagwiritsidwanso ntchito.
    • Awonjezedwa mbendera yatsopano ya CAP_CHECKPOINT_RESTORE, yomwe imakupatsani mwayi woti muthe kupeza maluso okhudzana ndi kuzizira ndikubwezeretsanso momwe zinthu zilili popanda kusamutsa mwayi wowonjezera.
    • GCC 11 imapereka zonse zomwe muyenera kuchita
      chida chochotsera zolakwika KCSAN (Kernel Concurrency Sanitizer), yopangidwa kuti izindikire momwe mipikisano ikuyendera mkati mwa kernel. Chifukwa chake, KCSAN tsopano itha kugwiritsidwa ntchito ndi maso omangidwa mu GCC.

    • Za AMD Zen ndi mitundu yatsopano ya CPU anawonjezera kuthandizira ukadaulo wa P2PDMA, womwe umakupatsani mwayi wogwiritsa ntchito DMA kusamutsa deta mwachindunji pakati pa kukumbukira zida ziwiri zolumikizidwa ndi basi ya PCI.
    • Mawonekedwe awonjezedwa ku dm-crypt omwe amakulolani kuti muchepetse kuchedwa mwa kupanga cryptographic data processing popanda kugwiritsa ntchito mizere. M'njira imeneyi n'kofunikanso olondola ntchito ndi zoned zida zotchinga (zida zokhala ndi madera omwe ayenera kulembedwa motsatizana, kukonzanso gulu lonse la midadada). Ntchito yachitika kuti awonjezere kutulutsa ndikuchepetsa latency mu dm-crypt.
    • Khodi yochotsedwa kuti ithandizire alendo a 32-bit omwe akuyenda munjira ya paravirtualization yomwe ikuyendetsa Xen hypervisor. Ogwiritsa ntchito makina otere akuyenera kusintha kugwiritsa ntchito ma 64-bit kernel m'malo a alendo kapena kugwiritsa ntchito mawonekedwe athunthu (HVM) kapena kuphatikiza (PVH) m'malo mwa paravirtualization (PV) kuti ayendetse malo.
  • Disk Subsystem, I/O ndi File Systems
    • Pa fayilo ya Btrfs zakhazikitsidwa "Rescue" mount njira yomwe imagwirizanitsa mwayi wopeza zina zonse zobwezeretsa. Thandizo la "alloc_start" ndi "subvolrootid" zosankha zachotsedwa, ndipo njira ya "inode_cache" yachotsedwa. Kukhathamiritsa kwa magwiridwe antchito kwapangidwa, makamaka kufulumizitsa kuchitidwa kwa fsync() ntchito. Zowonjezedwa Kutha kugwiritsa ntchito mitundu ina ya macheke kupatula CRC32c.
    • Zowonjezedwa Kutha kugwiritsa ntchito inline encryption (Inline Encryption) mu mafayilo a ext4 ndi F2FS, kuti athe kusankha "inlinecrypt" mount mount. Inline encryption mode imakupatsani mwayi wogwiritsa ntchito njira zobisira zomwe zimamangidwa muchowongolera choyendetsa, chomwe chimabisa mowonekera ndikuchotsa zolowetsa/zotulutsa.
    • Mu XFS otetezedwa inode reset (flush) munjira yosasinthika yomwe siyimatsekereza njira mukamagwira ntchito yoyeretsa kukumbukira. Tinathetsa vuto lachigawo lomwe lakhalapo kwanthawi yayitali lomwe lidapangitsa kuti machenjezo ochepetsera komanso malire a inode asatsatidwe molakwika. Kukhazikitsa kogwirizana kwa DAX kuthandizira kwa ext4 ndi xfs.
    • mu Ext4 zakhazikitsidwa preload block allocation bitmaps. Kuphatikizidwa ndikuchepetsa kusanthula kwamagulu osadziwika, kukhathamiritsa kumachepetsa nthawi yofunikira kuti muyike magawo akulu kwambiri.
    • Mu F2FS anawonjezera ioctl F2FS_IOC_SEC_TRIM_FILE, yomwe imakupatsani mwayi wogwiritsa ntchito malamulo a TRIM/kutaya kuti mukhazikitsenso deta yomwe mwasankha mufayilo, mwachitsanzo, kufufuta makiyi olowera osasiya zotsalira pagalimoto.
      Mu F2FS komanso anawonjezera njira yatsopano yosonkhanitsira zinyalala GC_URGENT_LOW, yomwe imagwira ntchito mwamphamvu kwambiri pochotsa macheke ena kuti asakhale opanda ntchito asanayambe zotayira zinyalala.

    • Mu bcache, bucket_size for extents yawonjezedwa kuchokera ku 16 mpaka 32 bits pokonzekera kuyatsa ma cache a chipangizo.
    • Kutha kugwiritsa ntchito inline encryption kutengera kubisa kwa hardware komwe kumaperekedwa ndi oyang'anira a UFS awonjezedwa ku SCSI subsystem (Universal Flash Storage).
    • A kernel command line parameter "debugfs" yawonjezedwa, yomwe imakupatsani mwayi wowongolera kupezeka kwa pseudo-FS ya dzina lomwelo.
    • Makasitomala a NFSv4.2 amapereka chithandizo pazowonjezera zamafayilo (xattr).
    • Mu dm-fumbi anawonjezera mawonekedwe owonetsera nthawi imodzi mndandanda wazinthu zonse zodziwika pa disk ("dmsetup message dust1 0 listbadblocks").
    • Kwa md/raid5, /sys/block/md1/md/stripe_size parameter yawonjezedwa kuti ikonze kukula kwa block STRIPE.
    • Kwa zida zosungira za NVMe anawonjezera kuthandizira malamulo oyendetsera ma drive (ZNS, NVM Express Zoned Namespace), zomwe zimakupatsani mwayi wogawa malo osungira m'magawo omwe amapanga magulu a midadada kuti athe kuwongolera kwathunthu kuyika kwa data pagalimoto.
  • Network subsystem
    • Mu Netfilter anawonjezera kuthekera kokana mapaketi pa siteji musanayendetse cheke (mawu a REJECT tsopano angagwiritsidwe ntchito osati mu unyolo wa INPUT, FORWARD ndi OUTPUT, komanso pa PREROUTING siteji ya icmp ndi tcp).
    • Mu nftables anawonjezera kuthekera kowunika zochitika zokhudzana ndi kusintha kwa kasinthidwe.
    • Mu nftables mu netlink API anawonjezera kuthandizira maunyolo osadziwika, dzina lomwe limaperekedwa mwamphamvu ndi kernel. Mukachotsa lamulo lolumikizidwa ndi unyolo wosadziwika, unyolo womwewo umachotsedwa.
    • BPF imawonjezera chithandizo kwa obwereza kuti adutse, kusefa, ndikusintha zinthu zamagulu ophatikizana (mamapu) osakopera deta pamalo ogwiritsa ntchito. Ma Iterators atha kugwiritsidwa ntchito pama socket a TCP ndi UDP, kulola mapulogalamu a BPF kubwereza pamndandanda wamasoketi otseguka ndikuchotsa zomwe akufuna kuchokera kwa iwo.
    • Tawonjeza mtundu watsopano wa pulogalamu ya BPF BPF_PROG_TYPE_SK_LOOKUP, yomwe imayambitsidwa pomwe kernel ikuyang'ana soketi yoyenera yomvera kuti mulumikizane nayo. Pogwiritsa ntchito pulogalamu ya BPF monga iyi, mutha kupanga othandizira omwe amapanga zisankho za socket yomwe iyenera kulumikizidwa nayo, popanda kukakamizidwa ndi kuyimba () system call. Mwachitsanzo, mutha kugwirizanitsa soketi imodzi ndi ma adilesi osiyanasiyana kapena madoko. Kuphatikiza apo, kuthandizira mbendera ya SO_KEEPALIVE yawonjezeredwa ku bpf_setsockopt() ndikutha kukhazikitsa BPF_CGROUP_INET_SOCK_RELEASE osamalira, otchedwa pamene socket yatulutsidwa, yakhazikitsidwa.
    • Thandizo la Protocol lakhazikitsidwa PRP (Parallel Redundancy Protocol), yomwe imalola kusintha kwa Efaneti kupita ku njira yosunga zobwezeretsera, yowonekera pamapulogalamu, pakagwa kulephera kwa zida zilizonse zamaneti.
    • Mtengo wa mac80211 anawonjezera thandizo la magawo anayi a zokambirana za WPA/WPA2-PSK munjira yofikira.
    • Anawonjezera kuthekera kosintha ndandanda ya qdisc (queuing discipliner) kuti agwiritse ntchito FQ-PIE (Flow Queue PIE) network queue management aligorivimu mwachisawawa, cholinga chake ndi kuchepetsa kuyipa kwa paketi yapakatikati pazida zam'mphepete mwa netiweki (bufferbloat) mumanetiweki ndi cable modem.
    • Zatsopano zawonjezedwa ku MPTCP (MultiPath TCP), zowonjezera za protocol ya TCP yokonzekera kugwiritsa ntchito kulumikizana kwa TCP ndi kutumiza mapaketi nthawi imodzi m'njira zingapo kudzera pamaneti osiyanasiyana olumikizidwa ndi ma adilesi osiyanasiyana a IP. Thandizo lowonjezera la syn cookie, DATA_FIN, buffer auto-tuning, socket diagnostics, ndi REUSEADDR, REUSEPORT, ndi mbendera za V6ONLY mu setsockopt.
    • Kwa matebulo oyendera pafupifupi VRF (Virtual Routing and Forwarding), yomwe imalola kukonza magwiridwe antchito a madera angapo panjira imodzi, njira "yolimba" yakhazikitsidwa. Munjira iyi, tebulo lowoneka bwino limatha kulumikizidwa ndi tebulo lolowera lomwe siligwiritsidwa ntchito m'matebulo ena enieni.
    • Dalaivala wopanda zingwe ndi ath11k anawonjezera kuthandizira pafupipafupi 6GHz ndi kusanthula kwa spectral.
  • Zida
    • Khodi yochotsedwa kuti ithandizire zomangamanga za UniCore, zopangidwa ku Microprocessor Center ya Peking University ndikuphatikizidwa mu Linux kernel mu 2011. Zomangamangazi sizinasamalidwe kuyambira 2014 ndipo zilibe chithandizo ku GCC.
    • Thandizo la zomangamanga za RISC-V zakhazikitsidwa kodi (mawonekedwe a debugfs posanthula kufalikira kwa kernel code), kmemleak (njira yodziwira zokumbukira kutayikira), chitetezo cha stack, kudumpha ma marks ndi ma tekesi (kuchuluka kosagwirizana ndi ma siginecha a nthawi).
    • Pazomangamanga za PowerPC, kuthandizira kwa mizere ya spinlock kwakhazikitsidwa, zomwe zasintha kwambiri magwiridwe antchito pakanthawi kotseka.
    • Pazomangamanga za ARM ndi ARM64, njira yoyendetsera ma processor frequency imayatsidwa mwachisawawa anayankha (cpufreq bwanamkubwa), yemwe amagwiritsa ntchito mwachindunji chidziwitso kuchokera kwa wokonza ntchito kuti apange chisankho pakusintha pafupipafupi ndipo amatha kupeza nthawi yomweyo madalaivala a cpufreq kuti asinthe ma frequency, nthawi yomweyo kusintha magawo ogwiritsira ntchito CPU ku katundu wapano.
    • Dalaivala wa i915 DRM wamakhadi azithunzi a Intel akuphatikiza chithandizo cha tchipisi chotengera microarchitecture Nyanja ya Rocket ndikuwonjezera chithandizo choyambirira cha makadi a discrete Intel Xe DG1.
    • Dalaivala wa Amdgpu adawonjezera chithandizo choyambirira cha AMD GPUs Navi 21 (Navy Flounder) ndi Navi 22 (Sienna Cichlid). Thandizo lowonjezera la ma encoding amavidiyo a UVD/VCE ndi ma injini aku Southern Islands GPU (Radeon HD 7000).
      Anawonjezera katundu kuti azizungulira zowonetsera ndi 90, 180 kapena 270 madigiri.

      Chochititsa chidwi, dalaivala wa AMD GPU ndi dalaivala wamkulu kwambiri mu kernel - ili ndi mizere pafupifupi 2.71 miliyoni ya code, yomwe ili pafupifupi 10% ya kukula kwa kernel (mizere 27.81 miliyoni). Panthawi imodzimodziyo, mizere ya 1.79 miliyoni imawerengedwa ndi mafayilo amutu omwe amapangidwa okha ndi deta ya zolembera za GPU, ndipo C code ndi mizere 366 zikwi (poyerekeza, dalaivala wa Intel i915 akuphatikizapo mizere 209 zikwi, ndi Nouveau - 149 zikwi).

    • Mu driver wa Nouveau anawonjezera kuthandizira pakuwunika kukhulupirika kwa chimango ndi chimango pogwiritsa ntchito CRC (Cyclic Redundancy Checks) mu injini zowonetsera za NVIDIA GPU. Kukhazikitsa kumatengera zolemba zoperekedwa ndi NVIDIA.
    • Madalaivala owonjezera a mapanelo a LCD: Frida FRD350H54004, KOE TX26D202VM0BWA, CDTech S070PWS19HP-FC21, CDTech S070SWV29HG-DC44, Tianma TM070JVHG33 ndi Xing599bangda.
    • The ALSA audio subsystem imathandizira Intel Silent Stream (njira yamagetsi yopitilira pazida zakunja za HDMI kuti muchepetse kuchedwa mukayamba kusewera) ndi chipangizo chatsopano kuwongolera kuwunikira kwa maikolofoni ndi mabatani osalankhula, ndikuwonjezeranso chithandizo cha zida zatsopano, kuphatikiza chowongolera Longson 7A1000.
    • Thandizo lowonjezera la ma ARM board, zida ndi nsanja: Pine64 PinePhone v1.2, Lenovo IdeaPad Duet 10.1, ASUS Google Nexus 7, Acer Iconia Tab A500, Qualcomm Snapdragon SDM630 (yogwiritsidwa ntchito mu Sony Xperia 10, 10 Plus, XA2 Plus ndi XA2 Plus, XA2 Plus ndi XA2 Plus Ultra), Jetson Xavier NX, Amlogic WeTek Core6, Aspeed EthanolX, matabwa atsopano asanu kutengera NXP i.MX3011, MikroTik RouterBoard 950, Xiaomi Libra, Microsoft Lumia 5, Sony Xperia Z5, MStar, Microchip Sparx3, Intel Keem Bay, Amazon Alpine v2, Renesas RZ/GXNUMXH.

Nthawi yomweyo, Latin American Free Software Foundation anapanga
njira kernel yaulere kwathunthu 5.9 - Linux-libre 5.9-gnu, kuchotsedwa kwa firmware ndi zinthu zoyendetsa galimoto zomwe zili ndi zigawo zopanda ufulu kapena zigawo za code, zomwe zimakhala zochepa ndi wopanga. Kutulutsidwa kwatsopanoko kumalepheretsa kutsitsa kwa blob m'madalaivala a WiFi rtw8821c ndi SoC MediaTek mt8183. Khodi yoyeretsera ma blob yosinthidwa ku Habanalabs, Wilc1000, amdgpu, mt7615, i915 CSR, Mellanox mlxsw (Spectrum3), r8169 (rtl8125b-2) ndi ma driver a x86 touchscreen ndi ma subsystems.

Source: opennet.ru

Kuwonjezera ndemanga