بحث: د OpenROAD پروژه د پروسیسر ډیزاین اتومات کولو ستونزه حل کول غواړي

بحث: د OpenROAD پروژه د پروسیسر ډیزاین اتومات کولو ستونزه حل کول غواړي
عکس — پاڼي — CC BY

د ډاټا PWC، د سیمیکمډکټر ټیکنالوژۍ بازار وده کوي - تیر کال دا 481 ملیارد ډالرو ته ورسید. مګر په دې وروستیو کې د ودې کچه کم شوی. د کمیدو لاملونو کې د وسیلې ډیزاین پروسې ګډوډي او د اتومات نشتوالی شامل دي.

څو کاله دمخه، د Intel څخه انجنیرانو لیکلیدا چې کله د لوړ فعالیت مایکرو پروسیسر رامینځته کړئ تاسو باید د 100-150 جلا سافټویر اوزار وکاروئ (او څخه). وضعیت د متفاوت وسیلو په صورت کې خراب کیدی شي، د جوړښت جوړښت چې د چپس ډیری ډولونه پکې شامل دي - ASIC، FPGA، CPU یا GPU. د پایلې په توګه، د ډیزاین غلطی واقع کیږي چې د محصولاتو خوشې کول ځنډوي.

د ډیری مرستندویه وسایلو سره سره، انجنیران لاهم مجبور دي چې ځینې کارونه په لاسي ډول ترسره کړي. د کتاب لیکوالان "پرمختللی منطق ترکیب"دوی وايي چې ځینې وختونه ډیزاینران لري د کتابتونونو جوړولو لپاره د دوه ملیون لینونو په مهارت یا Python کې سکریپټونه ولیکئ حجرې.

سکریپټونه د EDA سیسټمونو لخوا رامینځته شوي راپورونه تحلیل کولو لپاره هم لیکل شوي. کله چې د 22nm پروسې ټیکنالوژۍ په کارولو سره چپ رامینځته کړئ ، دا راپورونه تر 30 ټیرابایټ پورې وخت نیسي.

DARPA پریکړه وکړه چې وضعیت سم کړي او د ډیزاین پروسې معیاري کولو هڅه وکړي. په اداره کې هم پام وکړئدا چې د چپس جوړولو لپاره موجوده میتودونه زاړه دي. سازمان په لاره اچول شوی پنځه کلن پروګرام OpenROAD، چې موخه یې د چپ ډیزاین پروسې اتومات کولو لپاره نوي وسیلې رامینځته کول دي.

څه ډول پروګرام

په برنامه کې ډیری پروژې شاملې دي چې د چپ جوړولو انفرادي مرحلو اتومات کولو لپاره د ماشین زده کړې او کلاوډ ټیکنالوژي کاروي. د نوښت د یوې برخې په توګه وده کوي (ډیاګرام 1) له لسو څخه ډیر وسایل. بیا به موږ د دوی د ځینو په اړه په تفصیل سره وغږیږو: فلو رنر، ریپلاس، ټریټون سیټس، اوپنسټا.

فلو رنر د RTL او GDSII کتابتونونو اداره کولو وسیله ده. وروستنۍ د ډیټابیس فایلونه دي چې د مدغم سرکیټونو او د دوی ټوپولوژیو په اړه د معلوماتو تبادلې لپاره د صنعت معیار دی. حل د ډاکر کانټینر ټیکنالوژۍ پراساس دی. تاسو کولی شئ فلو رنر دواړه په بادل او ځایی توګه پرمخ بوځي. د نصبولو لارښود په رسمي ذخیره کې دی په GitHub کې.

RePlAce د ماشین زده کړې پراساس د کلاوډ حل دی ، کوم چې په چپ کې د اجزاو ځای په ځای کولو او د روټینګ اتومات کولو مسؤلیت لري. لخوا ځینې ​​معلومات، هوښیار الګوریتمونه د کلاسیک سیسټمونو په پرتله د وسیلې موثریت 2-10٪ ډیروي. سربیره پردې ، په بادل کې پلي کول اندازه کول اسانه کوي. د نصب او ترتیب کولو لارښود هم شتون لري په ذخیره کې.

TritonCTS - چپ ته چمتو شوي د ساعت دالونو د اصلاح کولو لپاره یو وسیله. د ورته ځنډ سره د وسیلې ټولو برخو ته د لارې ساعت سیګنالونو کې مرسته کوي. د عملیاتي اصولو پر بنسټ ولاړ دی H-ونې. دا طریقه زیاتوي د سیګنال توزیع موثریت د دودیزو میتودونو په پرتله 30٪ لخوا. پرمخ وړونکي وايي چې په راتلونکي کې به دا شمیره 56٪ ته لوړه شي. د TritonCTS سرچینې کوډ او سکریپټونه شتون لري په GitHub کې.

OpenSTA - د جامد وخت تحلیل لپاره انجن. دا ډیزاینر ته فرصت ورکوي چې مخکې له دې چې واقعیا راټول شي د چپ فعالیت چیک کړي. په OpenSTA کې د مثال کوډ داسې ښکاري لکه چې لکه دغه.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

افادیت د ویریلوګ کوډ ، د لیبرټي فارمیټ کتابتونونو ، SDC فایلونو ، او داسې نورو د نیټ لیست توضیحاتو ملاتړ کوي.

ګټې او زیانونه

د IBM او IEEE متخصصین لمانځلدا چې د کلاوډ ټیکنالوژي او د ماشین زده کړه د چپ تولید کې د کارونې لپاره اوږد مهاله دي. د دوی په اند، د DARPA پروژه د دې مفکورې د پلي کولو یوه بریالۍ بیلګه کیدی شي واچوي په صنعت کې د بدلونونو پیل.

دا هم تمه کیږي چې د OpenROAD خلاص طبیعت به د وسیلو شاوخوا ځواکمن ټولنه رامینځته کړي او نوي پیلونه راجلب کړي.

بحث: د OpenROAD پروژه د پروسیسر ډیزاین اتومات کولو ستونزه حل کول غواړي
عکس — پاڼي — CC BY

دلته دمخه برخه اخیستونکي شتون لري - د میشیګان پوهنتون کې میشته لابراتوار چپس رامینځته کوي ، لومړی به وي، څوک به د OpenROAD خلاصې سرچینې وسیلې ازموینه وکړي. مګر دا لا معلومه نده چې ایا نوي حلونه به وکولی شي د وروستي محصولاتو لګښت باندې د پام وړ اغیزه ولري.

په ټولیز ډول، هغه وسایل چې د DARPA تر مشرۍ لاندې رامینځته کیږي تمه کیږي چې د پروسس کولو صنعت باندې مثبت اغیزه ولري، او په دې برخه کې به نورې نوې پروژې پیل شي. یو مثال به یوه وسیله وي GEDA - دا تاسو ته اجازه درکوي د غیر محدود شمیر اجزاو سره چپس ډیزاین کړئ. GEDA د مایکرو سرکیټونو او بورډ روټینګ ترمیم او ماډل کولو لپاره اسانتیاوې شاملې دي. حل د UNIX پلیټ فارمونو لپاره رامینځته شوی ، مګر د هغې یو شمیر برخې هم د وینډوز لاندې کار کوي. د دوی سره د کار کولو لارښود موندل کیدی شي د پروژې په ویب پاڼه کې په اسنادو کې.

په وړیا توګه موجود وسیلې خپلواک سازمانونه او پیل کولو ته نور اختیارونه ورکوي. دا ممکنه ده چې د وخت په تیریدو سره، د EDA وسیلې پراختیا او چپ ډیزاین ته د OpenROAD نوې طریقې د صنعت معیار شي.

هغه څه چې موږ یې په خپل کارپوریټ بلاګ کې لیکو:

سرچینه: www.habr.com

Add a comment