عکس —
د
څو کاله دمخه، د Intel څخه انجنیرانو
د ډیری مرستندویه وسایلو سره سره، انجنیران لاهم مجبور دي چې ځینې کارونه په لاسي ډول ترسره کړي. د کتاب لیکوالان "
پرمختللی منطق ترکیب "دوی وايي چې ځینې وختونه ډیزاینرانلري د کتابتونونو جوړولو لپاره د دوه ملیون لینونو په مهارت یا Python کې سکریپټونه ولیکئحجرې .سکریپټونه د EDA سیسټمونو لخوا رامینځته شوي راپورونه تحلیل کولو لپاره هم لیکل شوي. کله چې د 22nm پروسې ټیکنالوژۍ په کارولو سره چپ رامینځته کړئ ، دا راپورونه تر 30 ټیرابایټ پورې وخت نیسي.
DARPA پریکړه وکړه چې وضعیت سم کړي او د ډیزاین پروسې معیاري کولو هڅه وکړي. په اداره کې هم
څه ډول پروګرام
په برنامه کې ډیری پروژې شاملې دي چې د چپ جوړولو انفرادي مرحلو اتومات کولو لپاره د ماشین زده کړې او کلاوډ ټیکنالوژي کاروي. د نوښت د یوې برخې په توګه
فلو رنر د RTL او GDSII کتابتونونو اداره کولو وسیله ده. وروستنۍ د ډیټابیس فایلونه دي چې د مدغم سرکیټونو او د دوی ټوپولوژیو په اړه د معلوماتو تبادلې لپاره د صنعت معیار دی. حل د ډاکر کانټینر ټیکنالوژۍ پراساس دی. تاسو کولی شئ فلو رنر دواړه په بادل او ځایی توګه پرمخ بوځي. د نصبولو لارښود په رسمي ذخیره کې دی
RePlAce د ماشین زده کړې پراساس د کلاوډ حل دی ، کوم چې په چپ کې د اجزاو ځای په ځای کولو او د روټینګ اتومات کولو مسؤلیت لري. لخوا
TritonCTS - چپ ته چمتو شوي د ساعت دالونو د اصلاح کولو لپاره یو وسیله. د ورته ځنډ سره د وسیلې ټولو برخو ته د لارې ساعت سیګنالونو کې مرسته کوي. د عملیاتي اصولو پر بنسټ ولاړ دی
OpenSTA - د جامد وخت تحلیل لپاره انجن. دا ډیزاینر ته فرصت ورکوي چې مخکې له دې چې واقعیا راټول شي د چپ فعالیت چیک کړي. په OpenSTA کې د مثال کوډ
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
افادیت د ویریلوګ کوډ ، د لیبرټي فارمیټ کتابتونونو ، SDC فایلونو ، او داسې نورو د نیټ لیست توضیحاتو ملاتړ کوي.
ګټې او زیانونه
د IBM او IEEE متخصصین
دا هم تمه کیږي چې د OpenROAD خلاص طبیعت به د وسیلو شاوخوا ځواکمن ټولنه رامینځته کړي او نوي پیلونه راجلب کړي.
عکس —
دلته دمخه برخه اخیستونکي شتون لري - د میشیګان پوهنتون کې میشته لابراتوار چپس رامینځته کوي ،
په ټولیز ډول، هغه وسایل چې د DARPA تر مشرۍ لاندې رامینځته کیږي تمه کیږي چې د پروسس کولو صنعت باندې مثبت اغیزه ولري، او په دې برخه کې به نورې نوې پروژې پیل شي. یو مثال به یوه وسیله وي
په وړیا توګه موجود وسیلې خپلواک سازمانونه او پیل کولو ته نور اختیارونه ورکوي. دا ممکنه ده چې د وخت په تیریدو سره، د EDA وسیلې پراختیا او چپ ډیزاین ته د OpenROAD نوې طریقې د صنعت معیار شي.
هغه څه چې موږ یې په خپل کارپوریټ بلاګ کې لیکو:
ان باکسینګ: د سیسکو UCS B480 M5 بلیډ سرور ان باکسینګ: د ټول فلش ذخیره کولو سیسټم NetApp AFF A300 - له دننه څخه یو نظر د لوړ کثافت سرورونه: د حلونو تحلیل سیسکو UCS - unboxing
سرچینه: www.habr.com