بحث: OpenROAD پروجيڪٽ پروسيسر ڊيزائن جي آٽوميشن جي مسئلي کي حل ڪرڻ جو ارادو رکي ٿو

بحث: OpenROAD پروجيڪٽ پروسيسر ڊيزائن جي آٽوميشن جي مسئلي کي حل ڪرڻ جو ارادو رکي ٿو
--ото - پينسل - CC BY

پاران ڏنل آهي PWC، سيمي ڪنڊڪٽر ٽيڪنالاجي مارڪيٽ وڌي رهي آهي - گذريل سال اهو 481 بلين ڊالر تائين پهچي ويو. پر تازو ان جي ترقي جي شرح گهٽجي ويو. رد ٿيڻ جي سببن ۾ شامل آهي پريشان ڪندڙ ڊيوائس ڊيزائن جي عمل ۽ آٽوميشن جي کوٽ.

ڪجھ سال اڳ، Intel کان انجنيئر لکيوته جڏهن هڪ اعليٰ ڪارڪردگي وارو مائڪرو پروسيسر ٺاهيو ته توهان کي 100-150 الڳ سافٽ ويئر اوزار استعمال ڪرڻا پوندا (EDA). صورتحال هيٽروجنيسس ڊوائيسز جي صورت ۾ بگڙيل ٿي سگهي ٿي، جنهن جي فن تعمير ۾ مختلف قسم جا چپس شامل آهن - ASIC، FPGA، CPU يا GPU. نتيجي طور، ڊيزائن جون غلطيون ٿين ٿيون جيڪي مصنوعات جي ڇڏڻ ۾ دير ڪن ٿيون.

معاون اوزارن جي وڏي تعداد جي باوجود، انجنيئر اڃا تائين ڪجهه ڪم دستي طور تي ڪرڻ تي مجبور آهن. ڪتاب جا ليکڪ“ترقي يافته منطقي سنٿيسس"اهي چون ٿا ته ڪڏهن ڪڏهن ڊزائنر ڪرڻو آهي اسڪِل يا پٿون ۾ ٻه لک لائينن جا اسڪرپٽ لکو ته جيئن لائبريريون ٺهي سيلز.

EDA سسٽم پاران ٺاهيل رپورٽن کي پارس ڪرڻ لاءِ اسڪرپٽ پڻ لکيل آهن. جڏهن 22nm پروسيسنگ ٽيڪنالاجي استعمال ڪندي هڪ چپ ٺاهيندي، اهي رپورٽون 30 ٽيرا بائيٽ تائين وٺي سگهن ٿيون.

DARPA صورتحال کي درست ڪرڻ جو فيصلو ڪيو ۽ ڊيزائن جي عمل کي معياري ڪرڻ جي ڪوشش ڪئي. ايجنسي ۾ پڻ غور ڪيوته چپس ٺاهڻ جا موجوده طريقا پراڻا آهن. تنظيم شروع ڪيو پنجن سالن جو پروگرام اوپن روڊ، جنهن جو مقصد آهي چپ ڊيزائن جي عمل کي خودڪار ڪرڻ لاءِ نوان اوزار تيار ڪرڻ.

ڪهڙي قسم جو پروگرام

پروگرام ۾ ڪيترائي منصوبا شامل آھن جيڪي مشين لرننگ ۽ ڪلائوڊ ٽيڪنالاجي استعمال ڪندا آھن چپ ٺاھڻ جي انفرادي مرحلن کي خودڪار ڪرڻ لاءِ. شروعات جي حصي طور ترقي ڪري رهيا آهن (ڊاگرام 1) ڏهن کان وڌيڪ اوزار. اڳيون اسان انهن مان ڪجهه بابت وڌيڪ تفصيل سان ڳالهائينداسين: فلو رنر، ريپلاس، ٽرٽين سي ٽي ايس، اوپن ايسٽا.

وهندڙ ڊوڙ RTL ۽ GDSII لائبريرين کي منظم ڪرڻ لاء هڪ اوزار آهي. بعد ۾ ڊيٽابيس فائلون آهن جيڪي مربوط سرڪٽس ۽ انهن جي ٽوپولوجيز بابت معلومات جي تبادلي لاءِ انڊسٽري معيار آهن. حل Docker ڪنٽينر ٽيڪنالاجي تي ٻڌل آهي. توهان فلو رنر کي ڪلائوڊ ۽ مقامي طور تي هلائي سگهو ٿا. انسٽاليشن گائيڊ سرڪاري مخزن ۾ آهي GitHub تي.

RePlAce مشين لرننگ تي ٻڌل هڪ ڪلائوڊ حل آهي، جيڪو چپ تي اجزاء رکڻ ۽ روٽنگ کي خودڪار ڪرڻ جو ذميوار آهي. پاران ڪجهه ڊيٽا, ذهين الگورٿمز ڪلاسيڪل سسٽم جي مقابلي ۾ اوزار جي ڪارڪردگي کي 2-10٪ وڌائي ٿو. ان کان سواء، بادل ۾ عمل درآمد آسان بڻائي ٿو اسڪيلنگ. انسٽاليشن ۽ ٺاھ جوڙ ھدايت پڻ موجود آهي مخزن ۾.

TritonCTS - چپ کي فراهم ڪيل گھڙي جي دال کي بهتر ڪرڻ لاءِ هڪ افاديت. رستي جي گھڙي سگنلن کي ڊوائيس جي سڀني حصن تائين ساڳئي دير سان مدد ڪري ٿي. آپريٽنگ اصول تي ٻڌل آهي ايڇ-وڻ. هي طريقو واڌارو روايتي طريقن جي مقابلي ۾ 30٪ پاران سگنل ورهائڻ جي ڪارڪردگي. ڊولپرز جو چوڻ آهي ته مستقبل ۾ اهو انگ 56 سيڪڙو تائين وڌائي سگهجي ٿو. TritonCTS سورس ڪوڊ ۽ اسڪرپٽ موجود آهن GitHub تي.

OpenSTA - جامد وقت جي تجزيي لاءِ هڪ انجڻ. اهو ڊزائنر کي اهو موقعو ڏئي ٿو ته چپ جي ڪارڪردگي کي جانچڻ کان پهريان ان کي اصل ۾ گڏ ڪيو وڃي. OpenSTA ۾ مثال ڪوڊ پسند اچي رهيو آهي هن وانگر.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

يوٽيلٽي ويريلوگ ڪوڊ، لبرٽي فارميٽ لائبريرين، ايس ڊي سي فائلن وغيره جي نيٽ لسٽ وضاحتن کي سپورٽ ڪري ٿي.

فائدا ۽ نقصانات

IBM ۽ IEEE جا ماهر جشنته ڪلائوڊ ٽيڪنالاجيز ۽ مشين لرننگ چپ جي پيداوار ۾ استعمال لاءِ گهڻي وقت کان التوا ۾ آهن. انهن جي راء ۾، DARPA پروجيڪٽ هن خيال تي عمل ڪرڻ جو هڪ ڪامياب مثال بڻجي سگهي ٿو رکندو صنعت ۾ تبديلين جي شروعات.

اهو پڻ توقع آهي ته OpenROAD جي کليل فطرت اوزار جي چوڌاري هڪ طاقتور ڪميونٽي ٺاهي ۽ نئين شروعاتن کي راغب ڪندي.

بحث: OpenROAD پروجيڪٽ پروسيسر ڊيزائن جي آٽوميشن جي مسئلي کي حل ڪرڻ جو ارادو رکي ٿو
--ото - پينسل - CC BY

اتي اڳ ۾ ئي شرڪت ڪندڙ آھن - ھڪڙي ليبارٽري ترقي ڪندڙ چپس جي بنياد تي مشي گن يونيورسٽي، پهريون ٿيندو، جيڪو OpenROAD اوپن سورس ٽولز کي آزمائيندو. پر اهو اڃا تائين معلوم ناهي ته ڇا نوان حل حتمي شين جي قيمت تي قابل ذڪر اثر پيدا ڪري سگهندا.

مجموعي طور تي، DARPA جي اڳواڻي ۾ ترقي يافته اوزار پروسيسر انڊسٽري تي مثبت اثر جي اميد رکي ٿو، ۽ هن علائقي ۾ وڌيڪ نوان منصوبا ظاهر ٿيڻ شروع ٿي ويندا. ھڪڙو مثال ھڪڙو اوزار ھوندو گيڊا - اهو توهان کي اجزاء جي لامحدود تعداد سان چپس ڊزائين ڪرڻ جي اجازت ڏئي ٿو. gEDA ۾ مائڪرو سرڪٽس ۽ بورڊ روٽنگ کي ايڊٽ ڪرڻ ۽ ماڊلنگ لاءِ يوٽيلٽيون شامل آهن. حل يونڪس پليٽ فارمن لاءِ تيار ڪيو ويو، پر ان جا ڪيترائي حصا ونڊوز جي تحت ڪم ڪن ٿا. انھن سان گڏ ڪم ڪرڻ لاء ھدايت حاصل ڪري سگهو ٿا منصوبي جي ويب سائيٽ تي دستاويز ۾.

آزاد طور تي دستياب اوزار آزاد تنظيمن ۽ شروعاتن کي وڌيڪ اختيار ڏين ٿا. اهو ممڪن آهي ته وقت سان گڏ، OpenROAD جا نوان طريقا EDA ٽول ڊولپمينٽ ۽ چپ ڊيزائين هڪ انڊسٽري معيار بڻجي سگهي ٿي.

اسان پنهنجي ڪارپوريٽ بلاگ ۾ ڇا لکون ٿا:

جو ذريعو: www.habr.com

تبصرو شامل ڪريو