සාකච්ඡාව: OpenROAD ව්‍යාපෘතිය මඟින් ප්‍රොසෙසර නිර්මාණයේ ස්වයංක්‍රීයකරණය පිළිබඳ ගැටළුව විසඳීමට අදහස් කරයි

සාකච්ඡාව: OpenROAD ව්‍යාපෘතිය මඟින් ප්‍රොසෙසර නිර්මාණයේ ස්වයංක්‍රීයකරණය පිළිබඳ ගැටළුව විසඳීමට අදහස් කරයි
ඡායාරූපය - පීක්සෙල්ස් - CC BY

විසින් ලබා දී ඇත PWC, අර්ධ සන්නායක තාක්ෂණික වෙළෙඳපොළ වර්ධනය වෙමින් පවතී - පසුගිය වසරේ එය ඩොලර් බිලියන 481 දක්වා ළඟා විය. නමුත් මෑතකදී එහි වර්ධන වේගය අඩු විය. පරිහානියට හේතු වන්නේ ව්යාකූල උපාංග සැලසුම් ක්රියාවලීන් සහ ස්වයංක්රීයකරණයේ ඌනතාවයයි.

මීට වසර කිහිපයකට පෙර, ඉන්ටෙල් වෙතින් ඉංජිනේරුවන් ලියාඉහළ ක්‍රියාකාරී මයික්‍රොප්‍රොසෙසරයක් නිර්මාණය කිරීමේදී ඔබට වෙනම මෘදුකාංග මෙවලම් 100-150ක් භාවිතා කළ යුතුය (සහ සිට) විෂමජාතීය උපාංග සම්බන්ධයෙන් තත්වය තවත් උග්‍ර කළ හැකිය, එහි ගෘහ නිර්මාණ ශිල්පයට විවිධ චිප්ස් කිහිපයක් ඇතුළත් වේ - ASIC, FPGA, CPU හෝ GPU. ප්රතිඵලයක් වශයෙන්, නිෂ්පාදන නිකුත් කිරීම ප්රමාද කරන නිර්මාණ දෝෂ සිදු වේ.

සහායක මෙවලම් විශාල සංඛ්‍යාවක් තිබියදීත්, ඉංජිනේරුවන්ට තවමත් අතින් යම් වැඩක් කිරීමට බල කෙරෙයි. පොතේ කතුවරුන් "උසස් තාර්කික සංශ්ලේෂණයඔවුන් පවසන්නේ සමහර විට නිර්මාණකරුවන් බවයි කළ යුතුයි පුස්තකාල නිර්මාණය කිරීම සඳහා රේඛා මිලියන දෙකක Skill හෝ Python හි ස්ක්‍රිප්ට් ලියන්න සෛල.

EDA පද්ධති මගින් ජනනය කරන ලද වාර්තා විග්‍රහ කිරීම සඳහා ස්ක්‍රිප්ට් ද ලියා ඇත. 22nm ක්‍රියාවලි තාක්‍ෂණයක් භාවිතයෙන් චිපයක් සංවර්ධනය කිරීමේදී, මෙම වාර්තා ටෙරාබයිට් 30ක් දක්වා ගත හැක.

DARPA තත්ත්වය නිවැරදි කිරීමට සහ සැලසුම් ක්රියාවලීන් ප්රමිතිකරණය කිරීමට උත්සාහ කිරීමට තීරණය කළේය. ඒජන්සියේත් සලකා බලන්නචිප්ස් සෑදීම සඳහා පවතින ක්‍රම යල් පැන ගිය බව. ආයතනය දියත් කළා පස් අවුරුදු වැඩසටහන විවෘත මාර්ග, චිප් නිර්මාණ ක්‍රියාවලීන් ස්වයංක්‍රීය කිරීම සඳහා නව මෙවලම් සංවර්ධනය කිරීම අරමුණු කරයි.

මොන වගේ වැඩසටහනක්ද

චිප් නිර්මාණයේ තනි අදියර ස්වයංක්‍රීය කිරීම සඳහා යන්ත්‍ර ඉගෙනීම සහ වලාකුළු තාක්ෂණයන් භාවිතා කරන ව්‍යාපෘති කිහිපයක් මෙම වැඩසටහනට ඇතුළත් වේ. මුලපිරීමේ කොටසක් ලෙස සංවර්ධනය වෙමින් පවතී (රූප සටහන 1) උපකරණ දහයකට වඩා. ඊළඟට අපි ඒවායින් සමහරක් ගැන වඩාත් විස්තරාත්මකව කතා කරමු: Flow Runner, RePlAce, TritonCTS, OpenSTA.

ප්රවාහ ධාවකය RTL සහ GDSII පුස්තකාල කළමනාකරණය සඳහා මෙවලමකි. දෙවැන්න ඒකාබද්ධ පරිපථ සහ ඒවායේ ස්ථලක පිළිබඳ තොරතුරු හුවමාරු කර ගැනීම සඳහා කර්මාන්ත සම්මතයක් වන දත්ත සමුදා ගොනු වේ. විසඳුම Docker බහාලුම් තාක්ෂණය මත පදනම් වේ. ඔබට ප්‍රවාහ ධාවකය වලාකුළෙහි සහ දේශීයව ධාවනය කළ හැකිය. ස්ථාපන මාර්ගෝපදේශය නිල ගබඩාවේ ඇත GitHub මත.

Replace යන්ත්‍ර ඉගෙනීම මත පදනම් වූ ක්ලවුඩ් විසඳුමකි, එය චිපයක් මත සංරචක තැබීම සහ මාර්ගගත කිරීම ස්වයංක්‍රීය කිරීම සඳහා වගකිව යුතුය. විසින් සමහර දත්ත, බුද්ධිමත් ඇල්ගොරිතම සම්භාව්ය පද්ධතිවලට සාපේක්ෂව මෙවලමෙහි කාර්යක්ෂමතාව 2-10% කින් වැඩි කරයි. මීට අමතරව, වලාකුළු තුළ ක්රියාත්මක කිරීම පරිමාණය පහසු කරයි. ස්ථාපන සහ මානකරන මාර්ගෝපදේශය ද ඇත ගබඩාවේ.

ට්රයිටන්සීටීඑස් - චිපයට සපයන ඔරලෝසු ස්පන්දන ප්‍රශස්ත කිරීම සඳහා උපයෝගීතාවයකි. එකම ප්‍රමාදයන් සමඟ උපාංගයේ සියලුම කොටස් වෙත ඔරලෝසු සංඥා මාර්ගගත කිරීමට උදවු කරයි. මෙහෙයුම් මූලධර්මය පදනම් වේ H-ගස්. මෙම ප්රවේශය මතු කරයි සම්ප්‍රදායික ක්‍රමවලට සාපේක්ෂව 30% කින් සංඥා බෙදා හැරීමේ කාර්යක්ෂමතාව. සංවර්ධකයින් පවසන්නේ අනාගතයේ දී මෙම අගය 56% දක්වා වැඩි කළ හැකි බවයි. TritonCTS මූලාශ්‍ර කේතය සහ ස්ක්‍රිප්ට් තිබේ GitHub මත.

OpenSTA - ස්ථිතික කාල විශ්ලේෂණය සඳහා එන්ජිමක්. එය ඇත්ත වශයෙන්ම එකලස් කිරීමට පෙර චිපයේ ක්රියාකාරිත්වය පරීක්ෂා කිරීමට නිර්මාණකරුට අවස්ථාව ලබා දෙයි. OpenSTA හි උදාහරණ කේතය වගේ මෙවැනි.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

උපයෝගිතා Verilog කේතය, Liberty ආකෘති පුස්තකාල, SDC ගොනු ආදියෙහි netlist විස්තර සඳහා සහය දක්වයි.

වාසි හා අවාසි

IBM සහ IEEE හි විශේෂඥයින් සමරන්නක්ලවුඩ් තාක්ෂණයන් සහ යන්ත්‍ර ඉගෙනීම චිප් නිෂ්පාදනය සඳහා භාවිතා කිරීමට බොහෝ කාලයක් ප්‍රමාද වී ඇති බව. ඔවුන්ගේ මතය අනුව, DARPA ව්යාපෘතිය මෙම අදහස ක්රියාත්මක කිරීම සඳහා සාර්ථක උදාහරණයක් බවට පත්විය හැකිය දාන්නම් කර්මාන්තයේ වෙනස්කම් වල ආරම්භය.

OpenROAD හි විවෘත ස්වභාවය මෙවලම් වටා බලවත් ප්‍රජාවක් නිර්මාණය කර නව ආරම්භකයින් ආකර්ෂණය කර ගනු ඇතැයි අපේක්ෂා කෙරේ.

සාකච්ඡාව: OpenROAD ව්‍යාපෘතිය මඟින් ප්‍රොසෙසර නිර්මාණයේ ස්වයංක්‍රීයකරණය පිළිබඳ ගැටළුව විසඳීමට අදහස් කරයි
ඡායාරූපය - පීක්සෙල්ස් - CC BY

දැනටමත් සහභාගිවන්නන් ඇත - මිචිගන් විශ්ව විද්‍යාලය පදනම් කරගත් චිප්ස් සංවර්ධනය කරන රසායනාගාරයක්, පළමුවැන්නා වනු ඇත, OpenROAD විවෘත මූලාශ්‍ර මෙවලම් පරීක්ෂා කරන්නේ කවුද? නමුත් අවසාන නිෂ්පාදනවල පිරිවැය කෙරෙහි සැලකිය යුතු බලපෑමක් ඇති කිරීමට නව විසඳුම් සමත් වේද යන්න තවමත් නොදනී.

සමස්තයක් වශයෙන්, DARPA හි නායකත්වය යටතේ සංවර්ධනය වන මෙවලම් ප්‍රොසෙසර් කර්මාන්තයට ධනාත්මක බලපෑමක් ඇති කරනු ඇතැයි අපේක්ෂා කරන අතර, මෙම ප්‍රදේශය තුළ තවත් නව ව්‍යාපෘති මතු වීමට පටන් ගනී. උදාහරණයක් ලෙස මෙවලමක් වනු ඇත gEDA - එය ඔබට අසීමිත සංරචක සංඛ්යාවක් සමඟ චිප්ස් නිර්මාණය කිරීමට ඉඩ සලසයි. gEDA හි ක්ෂුද්‍ර පරිපථ සංස්කරණය සහ ආකෘති නිර්මාණය සහ පුවරු මාර්ගගත කිරීම සඳහා උපයෝගිතා ඇතුළත් වේ. විසඳුම UNIX වේදිකා සඳහා සංවර්ධනය කරන ලද නමුත් එහි සංරචක ගණනාවක් වින්ඩෝස් යටතේද ක්‍රියා කරයි. ඔවුන් සමඟ වැඩ කිරීමට මාර්ගෝපදේශයක් සොයාගත හැකිය ව්යාපෘති වෙබ් අඩවියේ ලේඛනවල.

නොමිලේ ලබා ගත හැකි මෙවලම් ස්වාධීන සංවිධාන සහ ආරම්භකයින්ට වැඩි විකල්ප ලබා දෙයි. කාලයාගේ ඇවෑමෙන්, EDA මෙවලම් සංවර්ධනය සහ චිප් නිර්මාණය සඳහා OpenROAD හි නව ප්‍රවේශයන් කර්මාන්ත ප්‍රමිතියක් බවට පත්විය හැකිය.

අපගේ ආයතනික බ්ලොග් අඩවියේ අප ලියන දේ:

මූලාශ්රය: www.habr.com

අදහස් එක් කරන්න