DPI අතුරුමුහුණත සහ FPGA පුවරුව හරහා Raspberry Pi3 වෙත දෙවන HDMI මොනිටරය


මෙම වීඩියෝව පෙන්වන්නේ: Raspberry Pi3 පුවරුව, GPIO සම්බන්ධකය හරහා එයට සම්බන්ධ කර ඇති FPGA පුවරුව Mars Rover2rpi (Cyclone IV) වන අතර එයට HDMI මොනිටරයක් ​​සම්බන්ධ කර ඇත. දෙවන මොනිටරය Raspberry Pi3 හි සම්මත HDMI සම්බන්ධකය හරහා සම්බන්ධ වේ. ද්විත්ව නිරීක්ෂණ පද්ධතියක් මෙන් සෑම දෙයක්ම එකට ක්රියා කරයි.

මෙය ක්‍රියාත්මක කරන්නේ කෙසේදැයි මීළඟට මම ඔබට කියමි.

ජනප්‍රිය Raspberry Pi3 පුවරුවේ GPIO සම්බන්ධකයක් ඇති අතර එමඟින් ඔබට විවිධ පුළුල් කිරීමේ කාඩ්පත් සම්බන්ධ කළ හැකිය: සංවේදක, LED, ස්ටෙපර් මෝටර් ධාවක සහ තවත් බොහෝ දේ. සම්බන්ධකයක ඇති එක් එක් පින් එකෙහි නිශ්චිත කාර්යය වරාය වින්‍යාසය මත රඳා පවතී. GPIO ALT2 වින්‍යාසය මඟින් ඔබට සම්බන්ධකය DPI අතුරුමුහුණත ප්‍රකාරයට මාරු කිරීමට ඉඩ සලසයි, සමාන්තර අතුරුමුහුණත පෙන්වන්න. DPI හරහා VGA මොනිටර සම්බන්ධ කිරීම සඳහා පුළුල් කිරීමේ කාඩ්පත් තිබේ. කෙසේ වෙතත්, පළමුව, VGA මොනිටර HDMI තරම් පොදු නොවන අතර, දෙවනුව, ඩිජිටල් අතුරුමුහුණත ඇනලොග් එකට වඩා වැඩි වැඩියෙන් හොඳ වේ. එපමනක් නොව, එවැනි VGA ප්රසාරණ පුවරු මත DAC සාමාන්යයෙන් R-2-R දාම ආකාරයෙන් සාදා ඇති අතර බොහෝ විට එක් වර්ණයකට බිටු 6 කට වඩා වැඩි නොවේ.

ALT2 මාදිලියේදී, GPIO සම්බන්ධක පින්වලට පහත අර්ථය ඇත:

DPI අතුරුමුහුණත සහ FPGA පුවරුව හරහා Raspberry Pi3 වෙත දෙවන HDMI මොනිටරය

මෙන්න මම සම්බන්ධකයේ RGB පින් පිළිවෙලින් රතු, කොළ සහ නිල් වර්ණ ගන්වා ඇත. අනෙකුත් වැදගත් සංඥා වන්නේ V-SYNC සහ H-SYNC සංඥා මෙන්ම CLK ය. CLK ඔරලෝසු සංඛ්‍යාතය යනු සම්බන්ධකයට පික්සල් අගයන් ප්‍රතිදානය කරන සංඛ්‍යාතයයි; එය තෝරාගත් වීඩියෝ මාදිලිය මත රඳා පවතී.

ඩිජිටල් HDMI මොනිටරයක් ​​සම්බන්ධ කිරීම සඳහා, ඔබ අතුරු මුහුණතේ DPI සංඥා අල්ලාගෙන HDMI සංඥා බවට පරිවර්තනය කළ යුතුය. උදාහරණයක් ලෙස, යම් ආකාරයක FPGA පුවරුවක් භාවිතයෙන් මෙය කළ හැකිය. එය පෙනෙන පරිදි, Mars Rover2rpi පුවරුව මෙම අරමුණු සඳහා සුදුසු වේ. ඇත්ත වශයෙන්ම, විශේෂ ඇඩප්ටරයක් ​​හරහා මෙම පුවරුව සම්බන්ධ කිරීම සඳහා ප්රධාන විකල්පය මේ ආකාරයෙන් පෙනේ:

DPI අතුරුමුහුණත සහ FPGA පුවරුව හරහා Raspberry Pi3 වෙත දෙවන HDMI මොනිටරය

මෙම පුවරුව GPIO ports ගණන වැඩි කිරීමට සහ රාස්ප්බෙරි වෙත තවත් පර්යන්ත උපාංග සම්බන්ධ කිරීමට භාවිතා කරයි. ඒ සමගම, මෙම සම්බන්ධතාවය සහිත GPIO සංඥා 4 JTAG සංඥා සඳහා භාවිතා කරනු ලැබේ, එවිට Raspberry වෙතින් වැඩසටහන FPGA ස්ථිරාංග FPGA වෙත පැටවිය හැක. මේ නිසා, මෙම සම්මත සම්බන්ධතාවය මට ගැලපෙන්නේ නැත; DPI සංඥා 4 ක් පහත වැටේ. වාසනාවකට මෙන්, පුවරුවේ ඇති අතිරේක පනා වල Raspberry-අනුකූල පින්අවුට් ඇත. එබැවින් මට පුවරුව අංශක 90 ක් කරකවා එය මගේ රාස්ප්බෙරි වෙත සම්බන්ධ කළ හැකිය:

DPI අතුරුමුහුණත සහ FPGA පුවරුව හරහා Raspberry Pi3 වෙත දෙවන HDMI මොනිටරය

ඇත්ත වශයෙන්ම, ඔබට බාහිර JTAG ක්‍රමලේඛකයෙකු භාවිතා කිරීමට සිදුවනු ඇත, නමුත් මෙය ගැටළුවක් නොවේ.

තාම පොඩි ප්‍රශ්නයක් තියෙනවා. සෑම FPGA පින් එකක්ම ඔරලෝසු ආදානයක් ලෙස භාවිතා කළ නොහැක. මෙම අරමුණු සඳහා භාවිතා කළ හැක්කේ කැපවූ පින් කිහිපයක් පමණි. එබැවින් GPIO_0 CLK සංඥාව FPGA ආදානය වෙත නොපැමිණෙන අතර එය FPGA ඔරලෝසු ආදානයක් ලෙස භාවිත කළ හැකි බව මෙහිදී පෙනී ගියේය. ඒ නිසා මට තවමත් ස්කාෆ් එකට එක කම්බියක් දැමීමට සිදු විය. මම GPIO_0 සහ පුවරුවේ KEY[1] සංඥාව සම්බන්ධ කරමි:

DPI අතුරුමුහුණත සහ FPGA පුවරුව හරහා Raspberry Pi3 වෙත දෙවන HDMI මොනිටරය

දැන් මම ඔබට FPGA ව්‍යාපෘතිය ගැන ටිකක් කියන්නම්. HDMI සංඥා උත්පාදනය කිරීමේ ප්රධාන දුෂ්කරතාවය ඉතා ඉහළ සංඛ්යාත වේ. ඔබ HDMI සම්බන්ධක pinout දෙස බැලුවහොත්, RGB සංඥා දැන් අනුක්‍රමික අවකල සංඥා බව ඔබට පෙනෙනු ඇත:

DPI අතුරුමුහුණත සහ FPGA පුවරුව හරහා Raspberry Pi3 වෙත දෙවන HDMI මොනිටරය

ආන්තරික සංඥාවක් භාවිතා කිරීම සම්ප්රේෂණ මාර්ගයේ පොදු මාදිලියේ මැදිහත්වීම් වලට එරෙහිව සටන් කිරීමට ඔබට ඉඩ සලසයි. මෙම අවස්ථාවෙහිදී, එක් එක් වර්ණ සංඥාවෙහි මුල් අට-බිට් කේතය 10-bit TMDS (සංක්‍රාන්ති-අවම කළ අවකල සංඥා) බවට පරිවර්තනය වේ. මෙය සංඥාවෙන් DC සංරචකය ඉවත් කිරීම සහ අවකල රේඛාවක සංඥා මාරු කිරීම අවම කිරීම සඳහා විශේෂ කේතීකරණ ක්රමයකි. එක් වර්ණ බයිටයක් සඳහා අනුක්‍රමික රේඛාව හරහා දැන් බිටු 10 ක් සම්ප්‍රේෂණය කළ යුතු බැවින්, අනුක්‍රමික ඔරලෝසු වේගය පික්සල් ඔරලෝසු වේගයට වඩා 10 ගුණයකින් වැඩි විය යුතු බව පෙනේ. අපි උදාහරණයක් ලෙස වීඩියෝ මාදිලිය 1280x720 60Hz ගතහොත්, මෙම මාදිලියේ පික්සල් සංඛ්‍යාතය 74,25 MHz වේ. අනුක්‍රමිකකරණය 742,5 MHz විය යුතුය.

සාමාන්‍ය FPGAs, අවාසනාවකට මෙන්, මේ සඳහා හැකියාවක් නැත. කෙසේ වෙතත්, අපගේ වාසනාවට, FPGA හි DDIO පින් ඇත. මේවා දැනටමත් 2 සිට 1 දක්වා අනුක්‍රමිකයන් වන නිගමන වේ. එනම්, ඔවුන්ට ඔරලෝසු සංඛ්‍යාතයේ නැගී එන සහ වැටෙන දාරවල අනුපිළිවෙලින් බිටු දෙකක් ප්‍රතිදානය කළ හැකිය. මෙයින් අදහස් කරන්නේ FPGA ව්‍යාපෘතියකදී ඔබට 740 MHz නොව 370 MHz භාවිතා කළ හැකි නමුත් ඔබ FPGA හි DDIO ප්‍රතිදාන මූලද්‍රව්‍ය භාවිතා කළ යුතු බවයි. දැන් 370 MHz දැනටමත් සම්පුර්ණයෙන්ම සාක්ෂාත් කරගත හැකි සංඛ්යාතයකි. අවාසනාවකට, 1280x720 මාදිලිය සීමාව වේ. Mars Rover2rpi පුවරුවේ ස්ථාපනය කර ඇති අපගේ Cyclone IV FPGA හි ඉහළ විභේදනයක් ලබා ගත නොහැක.

ඉතින්, නිර්මාණයේදී, ආදාන පික්සල් සංඛ්‍යාතය CLK PLL වෙත යයි, එය 5 න් ගුණ කරනු ලැබේ. මෙම සංඛ්‍යාතයේදී, R, G, B බයිට් බිට් යුගල බවට පරිවර්තනය වේ. TMDS කේතකය කරන්නේ මෙයයි. Verilog HDL හි ප්‍රභව කේතය මෙලෙස දිස්වේ:

module hdmi(
	input wire pixclk,		// 74MHz
	input wire clk_TMDS2,	// 370MHz
	input wire hsync,
	input wire vsync,
	input wire active,
	input wire [7:0]red,
	input wire [7:0]green,
	input wire [7:0]blue,
	output wire TMDS_bh,
	output wire TMDS_bl,
	output wire TMDS_gh,
	output wire TMDS_gl,
	output wire TMDS_rh,
	output wire TMDS_rl
);

wire [9:0] TMDS_red, TMDS_green, TMDS_blue;
TMDS_encoder encode_R(.clk(pixclk), .VD(red  ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_red));
TMDS_encoder encode_G(.clk(pixclk), .VD(green), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_green));
TMDS_encoder encode_B(.clk(pixclk), .VD(blue ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_blue));

reg [2:0] TMDS_mod5=0;  // modulus 5 counter
reg [4:0] TMDS_shift_bh=0, TMDS_shift_bl=0;
reg [4:0] TMDS_shift_gh=0, TMDS_shift_gl=0;
reg [4:0] TMDS_shift_rh=0, TMDS_shift_rl=0;

wire [4:0] TMDS_blue_l  = {TMDS_blue[9],TMDS_blue[7],TMDS_blue[5],TMDS_blue[3],TMDS_blue[1]};
wire [4:0] TMDS_blue_h  = {TMDS_blue[8],TMDS_blue[6],TMDS_blue[4],TMDS_blue[2],TMDS_blue[0]};
wire [4:0] TMDS_green_l = {TMDS_green[9],TMDS_green[7],TMDS_green[5],TMDS_green[3],TMDS_green[1]};
wire [4:0] TMDS_green_h = {TMDS_green[8],TMDS_green[6],TMDS_green[4],TMDS_green[2],TMDS_green[0]};
wire [4:0] TMDS_red_l   = {TMDS_red[9],TMDS_red[7],TMDS_red[5],TMDS_red[3],TMDS_red[1]};
wire [4:0] TMDS_red_h   = {TMDS_red[8],TMDS_red[6],TMDS_red[4],TMDS_red[2],TMDS_red[0]};

always @(posedge clk_TMDS2)
begin
	TMDS_shift_bh <= TMDS_mod5[2] ? TMDS_blue_h  : TMDS_shift_bh  [4:1];
	TMDS_shift_bl <= TMDS_mod5[2] ? TMDS_blue_l  : TMDS_shift_bl  [4:1];
	TMDS_shift_gh <= TMDS_mod5[2] ? TMDS_green_h : TMDS_shift_gh  [4:1];
	TMDS_shift_gl <= TMDS_mod5[2] ? TMDS_green_l : TMDS_shift_gl  [4:1];
	TMDS_shift_rh <= TMDS_mod5[2] ? TMDS_red_h   : TMDS_shift_rh  [4:1];
	TMDS_shift_rl <= TMDS_mod5[2] ? TMDS_red_l   : TMDS_shift_rl  [4:1];
	TMDS_mod5 <= (TMDS_mod5[2]) ? 3'd0 : TMDS_mod5+3'd1;
end

assign TMDS_bh = TMDS_shift_bh[0];
assign TMDS_bl = TMDS_shift_bl[0];
assign TMDS_gh = TMDS_shift_gh[0];
assign TMDS_gl = TMDS_shift_gl[0];
assign TMDS_rh = TMDS_shift_rh[0];
assign TMDS_rl = TMDS_shift_rl[0];

endmodule

module TMDS_encoder(
	input clk,
	input [7:0] VD,	// video data (red, green or blue)
	input [1:0] CD,	// control data
	input VDE,  	// video data enable, to choose between CD (when VDE=0) and VD (when VDE=1)
	output reg [9:0] TMDS = 0
);

wire [3:0] Nb1s = VD[0] + VD[1] + VD[2] + VD[3] + VD[4] + VD[5] + VD[6] + VD[7];
wire XNOR = (Nb1s>4'd4) || (Nb1s==4'd4 && VD[0]==1'b0);
wire [8:0] q_m = {~XNOR, q_m[6:0] ^ VD[7:1] ^ {7{XNOR}}, VD[0]};

reg [3:0] balance_acc = 0;
wire [3:0] balance = q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7] - 4'd4;
wire balance_sign_eq = (balance[3] == balance_acc[3]);
wire invert_q_m = (balance==0 || balance_acc==0) ? ~q_m[8] : balance_sign_eq;
wire [3:0] balance_acc_inc = balance - ({q_m[8] ^ ~balance_sign_eq} & ~(balance==0 || balance_acc==0));
wire [3:0] balance_acc_new = invert_q_m ? balance_acc-balance_acc_inc : balance_acc+balance_acc_inc;
wire [9:0] TMDS_data = {invert_q_m, q_m[8], q_m[7:0] ^ {8{invert_q_m}}};
wire [9:0] TMDS_code = CD[1] ? (CD[0] ? 10'b1010101011 : 10'b0101010100) : (CD[0] ? 10'b0010101011 : 10'b1101010100);

always @(posedge clk) TMDS <= VDE ? TMDS_data : TMDS_code;
always @(posedge clk) balance_acc <= VDE ? balance_acc_new : 4'h0;

endmodule

එවිට ප්‍රතිදාන යුගල DDIO ප්‍රතිදානය වෙත පෝෂණය වන අතර එය අනුක්‍රමිකව නැගී එන සහ වැටෙන දාරවල එක්-බිට් සංඥාවක් නිපදවයි.

DDIO පහත Verilog කේතය සමඟ විස්තර කළ හැක:

module ddio(
	input wire d0,
	input wire d1,
	input wire clk,
	output wire out
	);

reg r_d0;
reg r_d1;
always @(posedge clk)
begin
	r_d0 <= d0;
	r_d1 <= d1;
end
assign out = clk ? r_d0 : r_d1;
endmodule

නමුත් බොහෝ විට එය එසේ ක්රියා නොකරනු ඇත. ඇත්ත වශයෙන්ම DDIO ප්‍රතිදාන මූලද්‍රව්‍ය සක්‍රීය කිරීමට ඔබ Alter's megafunction ALTDDIO_OUT භාවිතා කළ යුතුය. මගේ ව්‍යාපෘතිය ALTDDIO_OUT පුස්තකාල සංරචකය භාවිතා කරයි.

මේ සියල්ල ටිකක් උපක්‍රමශීලී බවක් පෙනෙන්නට ඇත, නමුත් එය ක්‍රියාත්මක වේ.

ඔබට Verilog HDL හි ලියා ඇති සියලුම මූල කේතය නැරඹිය හැකිය මෙන්න github මත.

FPGA සඳහා සම්පාදනය කරන ලද ස්ථිරාංග Mars Rover2rpi පුවරුවේ ස්ථාපනය කර ඇති EPCS චිපයට දැල්වෙයි. මේ අනුව, FPGA පුවරුවට බලය යොදන විට, FPGA ෆ්ලෑෂ් මතකයෙන් ආරම්භ කර ආරම්භ වේ.

දැන් අපි Raspberry හි වින්‍යාසය ගැන ටිකක් කතා කළ යුතුයි.

මම Debian Buster මත පදනම්ව Raspberry PI OS (32 bit) මත අත්හදා බැලීම් කරමින් සිටිමි, අනුවාදය: අගෝස්තු 2020,
මුදා හැරීමේ දිනය: 2020-08-20, කර්නල් අනුවාදය: 5.4.

ඔබ දේවල් දෙකක් කළ යුතුයි:

  • config.txt ගොනුව සංස්කරණය කරන්න;
  • මොනිටර දෙකක් සමඟ වැඩ කිරීමට X සේවාදායක වින්‍යාසයක් සාදන්න.

/boot/config.txt ගොනුව සංස්කරණය කිරීමේදී ඔබට අවශ්‍ය වන්නේ:

  1. i2c, i2s, spi භාවිතය අබල කරන්න;
  2. overlay dtoverlay=dpi24 භාවිතයෙන් DPI මාදිලිය සක්‍රීය කරන්න;
  3. වීඩියෝ මාදිලිය වින්‍යාස කරන්න 1280×720 60Hz, DPI මත පික්සලයකට බිටු 24;
  4. අවශ්‍ය රාමුබෆර් ගණන 2 සඳහන් කරන්න (max_framebuffers=2, එවිට පමණක් දෙවන උපාංගය /dev/fb1 දිස්වනු ඇත)

config.txt ගොනුවේ සම්පූර්ණ පාඨය මෙලෙස දිස්වේ.

# For more options and information see
# http://rpf.io/configtxt
# Some settings may impact device functionality. See link above for details

# uncomment if you get no picture on HDMI for a default "safe" mode
#hdmi_safe=1

# uncomment this if your display has a black border of unused pixels visible
# and your display can output without overscan
disable_overscan=1

# uncomment the following to adjust overscan. Use positive numbers if console
# goes off screen, and negative if there is too much border
#overscan_left=16
#overscan_right=16
#overscan_top=16
#overscan_bottom=16

# uncomment to force a console size. By default it will be display's size minus
# overscan.
#framebuffer_width=1280
#framebuffer_height=720

# uncomment if hdmi display is not detected and composite is being output
hdmi_force_hotplug=1

# uncomment to force a specific HDMI mode (this will force VGA)
#hdmi_group=1
#hdmi_mode=1

# uncomment to force a HDMI mode rather than DVI. This can make audio work in
# DMT (computer monitor) modes
#hdmi_drive=2

# uncomment to increase signal to HDMI, if you have interference, blanking, or
# no display
#config_hdmi_boost=4

# uncomment for composite PAL
#sdtv_mode=2

#uncomment to overclock the arm. 700 MHz is the default.
#arm_freq=800

# Uncomment some or all of these to enable the optional hardware interfaces
#dtparam=i2c_arm=on
#dtparam=i2s=on
#dtparam=spi=on

dtparam=i2c_arm=off
dtparam=spi=off
dtparam=i2s=off

dtoverlay=dpi24
overscan_left=0
overscan_right=0
overscan_top=0
overscan_bottom=0
framebuffer_width=1280
framebuffer_height=720
display_default_lcd=0
enable_dpi_lcd=1
dpi_group=2
dpi_mode=87
#dpi_group=1
#dpi_mode=4
dpi_output_format=0x6f027
dpi_timings=1280 1 110 40 220 720 1 5 5 20 0 0 0 60 0 74000000 3

# Uncomment this to enable infrared communication.
#dtoverlay=gpio-ir,gpio_pin=17
#dtoverlay=gpio-ir-tx,gpio_pin=18

# Additional overlays and parameters are documented /boot/overlays/README

# Enable audio (loads snd_bcm2835)
dtparam=audio=on

[pi4]
# Enable DRM VC4 V3D driver on top of the dispmanx display stack
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

[all]
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

මෙයින් පසු, ඔබ විසින් X සේවාදායකය සඳහා වින්‍යාස ගොනුවක් සෑදිය යුතු අතර, රාමුබෆර දෙකක් මත මොනිටර දෙකක් භාවිතා කිරීමට /dev/fb0 සහ /dev/fb1:

මගේ වින්‍යාස ගොනුව /usr/share/x11/xorg.conf.d/60-dualscreen.conf මේ වගේ

Section "Device"
        Identifier      "LCD"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb0"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Device"
        Identifier      "HDMI"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb1"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Monitor"
        Identifier      "LCD-monitor"
        Option          "Primary" "true"
EndSection

Section "Monitor"
        Identifier      "HDMI-monitor"
        Option          "RightOf" "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen0"
        Device          "LCD"
        Monitor         "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen1"
        Device          "HDMI" 
	Monitor         "HDMI-monitor"
EndSection

Section "ServerLayout"
        Identifier      "default"
        Option          "Xinerama" "on"
        Option          "Clone" "off"
        Screen 0        "screen0"
        Screen 1        "screen1" RightOf "screen0"
EndSection

හොඳයි, එය දැනටමත් ස්ථාපනය කර නොමැති නම්, ඔබ Xinerama ස්ථාපනය කළ යුතුය. එවිට ඉහත demo වීඩියෝවේ දැක්වෙන පරිදි ඩෙස්ක්ටොප් අවකාශය මොනිටර දෙකක් දක්වා සම්පූර්ණයෙන් පුළුල් වනු ඇත.

බොහෝ විට එපමණයි. දැන්, Raspberry Pi3 හිමිකරුවන්ට මොනිටර දෙකක් භාවිතා කිරීමට හැකි වනු ඇත.

Mars Rover2rpi පුවරුවේ විස්තරය සහ පරිපථ සටහන සොයාගත හැකිය මෙහෙ බලන්න.

මූලාශ්රය: www.habr.com