tusitala: ProHoster

Fausia se ata Ubuntu mo le ARM "mai le sasa"

A faatoa amata le atinaʻe, e masani ona le manino poʻo fea afifi o le a alu i le rootfs sini. I se isi faaupuga, ua vave tele e puʻe le LFS, buildroot poʻo le yocto (poʻo se isi mea), ae ua uma ona e manaʻomia e amata. Mo le au mauoa (O loʻo ia te aʻu le 4GB eMMC i luga o faʻataʻitaʻiga pailate) o loʻo i ai se auala e tuʻuina atu ai i le au atinaʻe se pusa tufatufaina e mafai ai ona latou vave tuʻuina atu se mea o loʻo misi i se [...]

Canary Deployment i Kubernetes #1: Gitlab CI

O le a matou faʻaogaina Gitlab CI ma le tusi lesona GitOps e faʻatino ma faʻaaoga le Canary deployment i Kubernetes Articles from this series: (lenei tusiga) Canary Deployment using ArgoCI Canary Deployment using Istio Canary Deployment using Jenkins-X Istio Flagger O le a matou faia Canary deployment O le a matou faia. ma le lima e ala i GitOps ma fatuina/suia punaoa autu Kubernetes. O lenei tusiga e faʻamoemoe muamua [...]

Elon Musk: Tesla e tatala i laiseneina polokalama, tuʻuina atu faʻasalalauga ma maa i isi tagata gaosi oloa

Na matou lipotia talu ai nei e iloa e Audi le taʻitaʻiga a Tesla i le tele o vaega taua o le atinaʻeina ma le fausiaina o taavale eletise. I le taimi muamua, o le Pule Sili o Volkswagen, Herbert Diess, na faʻaalia manino mai o lana kamupani o loʻo i tua atu o Tesla i le vaega o polokalama. O lea ua faʻasalalau e Tesla CEO Elon Musk lona sauni e fesoasoani. I le tali atu i faʻamatalaga lata mai mai taʻavale, Mr. Musk [...]

Biostar A32M2 laupapa e mafai ai ona e fatuina se PC taugofie ma se AMD Ryzen processor

Biostar fa'alauiloa le A32M2 motherboard, ua mamanuina mo le fausiaina o komepiuta komepiuta taugofie i luga o le AMD hardware platform. O le oloa fou o loʻo i ai le Micro-ATX format (198 × 244 mm), o lea e mafai ai ona faʻaaogaina i ni faiga laiti. O loʻo faʻaaogaina le AMD A320 logic set; O le faʻapipiʻiina o AMD A-series APU ma Ryzen processors i Socket AM4 ua faʻatagaina. Mo DDR4-1866/2133/2400/2666/2933/3200 RAM modules e lua […]

O le a maua e le au fai saofaga a Stadia Pro ni taaloga se lima ia Aokuso, e aofia ai le Metro 2033 Redux ma le Rock of Ages 3.

Na faalauiloa e Google i lana blog le laina o taʻaloga e leai se totogi mo le au fai saofaga a Stadia Pro mo Aukuso. O le filifiliga o loʻo lumanaʻi o le a aofia ai galuega e lima, ae le o mea uma o le a maua mai le amataga o le masina. Metro 2033 Redux, Kona, Strange Brigade ma Just Shapes & Beats o le a avea ma vaega o le Stadia Pro lineup i Aukuso 1st. Papa o Vaitausaga 3: Fai […]

Fa'asa'olotoina o le GNU nano 5.0 text editor

O le faʻasalalauga faʻasalalauga GNU nano 5.0 ua faʻasaʻolotoina, ua ofoina mai e avea ma faʻatonu faʻaletonu i le tele o faʻasalalauga faʻaoga o loʻo maua e le au atinaʻe le faigata tele ona faʻatautaia. E aofia ai le faʻatagaina o le suiga i le nano i le isi faʻasalalauga o Fedora Linux. I le faʻasalalauga fou: Faʻaaogaina le "--indicator" filifiliga poʻo le 'set indicator' seti i le itu taumatau o le lau, e mafai nei ona e faʻaalia [...]

Ua avea Microsoft ma sui o le Blender Development Fund

Ua auai Microsoft i le polokalame Blender Development Fund o se lagolago auro, foaʻi a itiiti ifo i le 3 afe euros i le tausaga mo le atinaʻeina o le free 30D modeling system Blender. O lo'o fa'aogaina e Microsoft le Blender e fa'atupu ai fa'ata'ita'iga 3D ma ata o tagata e mafai ona fa'aoga e toleni ai fa'ata'ita'iga a'oa'oga masini. O loʻo maitauina foi o le i ai o se pusa 3D fua maualuga maualuga ua faʻamaonia e aoga tele mo [...]

OpenJDK sui i Git ma GitHub

O le poloketi OpenJDK, o loʻo atiaʻe se faʻatinoga o faʻamatalaga o le gagana Java, o loʻo galue i le femalagaiga mai le Mercurial version control system i Git ma le GitHub collaborative development platform. O le suiga ua fuafuaina e faʻamaeʻaina ia Setema o lenei tausaga, aʻo leʻi tuʻuina atu le JDK 15, ina ia mafai ai ona atiaʻe le JDK 16 i luga o le fausaga fou. O loʻo faʻamoemoeina o le femalagaiga o le a faʻaleleia le faʻatinoga o faʻagaioiga fale teu oloa, faʻateleina le lelei o le teuina, [...]

StealthWatch: su'esu'ega fa'alavelave ma su'esu'ega. Vaega 3

Cisco StealthWatch o se faʻamatalaga faʻamatalaga auʻiliʻili faʻamatalaga e tuʻuina atu ai le mataʻituina faʻamataʻu mataʻutia i luga o se fesoʻotaʻiga tufatufaina. StealthWatch e fa'avae ile aoina o NetFlow ma IPFIX mai alaala, sui ma isi masini feso'ota'iga. O le iʻuga, ua avea le fesoʻotaʻiga ma se lagona maʻaleʻale ma mafai ai e le pule ona vaʻai poʻo fea auala masani mo le saogalemu o fesoʻotaiga, e pei o le Next Generation [...]

4. NGFW mo pisinisi laiti. VPN

Matou te faʻaauau a matou faʻasologa o tala e uiga i le NGFW mo pisinisi laiti, sei ou faamanatu atu ia te oe o loʻo matou iloiloina le 1500 faʻasologa faʻasologa fou. I le Vaega 1 o le faasologa, na ou taʻua ai se tasi o filifiliga sili ona aoga pe a faʻatau se masini SMB - o le tuʻuina atu o faitotoʻa faʻatasi ai ma laisene faʻapipiʻi Mobile Access (mai le 100 i le 200 tagata faʻaoga, faʻatatau i le faʻataʻitaʻiga). I lenei tusiga matou te [...]

Faʻafefea ona faʻaitiitia le tau o le umiaina o se faiga SIEM ma pe aisea e te manaʻomia ai le Central Log Management (CLM)

E le'i leva atu, na fa'aopoopoina ai e Splunk se isi fa'ata'ita'iga fa'ata'ita'iga - fa'ata'ita'iga fa'avae laisene (lea ua tolu nei). Latou te faitauina le numera o pusa CPU i lalo o le Splunk servers. E tutusa lelei ma le laisene Elastic Stack, latou te faitauina le numera o nodes Elasticsearch. O faiga a le SIEM e masani ona taugata ma e masani lava ona i ai se filifiliga i le va o le totogiina o le tele ma le totogiina o le tele. Ae, afai e te faʻaogaina lou atamai, e mafai ona e [...]

Ua sau Apple ma "telefoni" e taina musika i ou taliga ma le ulu

Lomiga i luga ole laiga AppleInsider ua maua se talosaga pateni Apple lea e faailoa mai ai o le Californian tech giant o loʻo atiaʻe se faʻalogo faʻalogo e faʻavae i luga o le mataupu faavae o le faʻaogaina o le leo e ala i ponaivi o le ulupulu. O lenei tekinolosi e mafai ai ona e faʻalogo i musika e aunoa ma ni faʻauluuluga masani, puʻeina vibration i nisi vaega i luga o le ulu. E taua le maitauina o lenei manatu e le o se mea fou ma o masini faapena ua leva ona i ai i luga o le maketi, ae ui i lea, ona o latou [...]