19 hydra ulu. Vaaiga lautele lelei o le polokalame

O le a faia se konafesi ia Iulai 11-12 i St. Petersburg Hydra, tuuto i le atinaʻeina o faiga tutusa ma tufatufa. O le togafiti a Hydra o le tuʻufaʻatasia lea o saienitisi malulu (e masani ona maua i na o fonotaga faʻasaienisi mai fafo) ma taʻutaʻua faʻainisinia faʻataʻitaʻi i se tasi polokalame tele i le vaeluaga o le saienisi ma le faʻataʻitaʻiga.

O le Hydra o se tasi o a tatou konafesi sili ona taua i nai tausaga talu ai. Na muamua i tapenaga ogaoga, filifilia o failauga ma lipoti. O le vaiaso talu ai e uiga i lenei mea na sau le faatalanoaga habro faatasi ai ma le faatonu o le JUG.ru Group, Alexey Fedorov (23derevo).

Ua tatou i ai ua uma ona ta'u atu e tusa ma le tolu tagata auai taua, o le na faavaeina le talitonuga o faiga tufatufaina - Leslie Lamport, Maurice Herlihy ma Michael Scott. Ua oo i le taimi e talanoa atili ai e uiga i le polokalame atoa!

19 hydra ulu. Vaaiga lautele lelei o le polokalame

Motugaafa

Afai o loʻo e aʻafia i polokalame, o le tasi auala poʻo le isi o loʻo e feagai ma multithreading ma tufatufa faʻasalalauga. O tagata tomai faapitoa i matata talafeagai e galulue saʻo ma i latou, ae faʻamaonia, tufatufaina atu o loʻo vaʻavaʻai mai ia i matou mai soʻo se mea: i soʻo se komepiuta tele-core poʻo le tufatufaina atu o loʻo i ai se mea e faia faʻatusatusaga tutusa.

E tele fonotaga e aofia ai vaega eseese o polokalame faʻaoga. I le isi itu o le fusi o alaleo, o loʻo i ai a matou aʻoga faʻasaienisi faʻapitoa e faʻaalia ai le tele o aʻoaʻoga lavelave i le faʻatulagaina o lauga. Mo se faʻataʻitaʻiga, e tutusa ma Hydra i St. Petersburg o loʻo i ai Aoga SPTDC. I le konafesi a Hydra, sa matou taumafai e faʻapotopoto faʻataʻitaʻiga faigata, saienisi, ma mea uma i le latou fetaulaiga.

Mafaufau i le mea lenei: tatou te ola i se taimi ofoofogia e mafai ai ona e feiloai i le tagata na faavaeina le matata faasaienisi ma inisinia tatou te suesueina. O le a le feiloai foma'i ia Newton po'o Einstein - ua alu ese le nofoaafi. Ae o loʻo i tafatafa o matou o loʻo ola pea i latou na faia le faavae o le talitonuga o le tufatufaina atu o faiga, fatuina gagana lauiloa polokalame, ma mo le taimi muamua na faʻaalia ai nei mea uma i faʻataʻitaʻiga galue. O nei tagata e leʻi tuʻua a latou galuega i le afa, o loʻo latou galulue i le taimi nei i mataupu faigata i iunivesite ma kamupani lauiloa i le lalolagi, ma o puna sili ia o le poto ma le poto masani i aso nei.

I le isi itu, o le avanoa e feiloaʻi ai ma i latou e masani lava ona tumau pea i le mafaufau: e toʻaitiiti i tatou e mafai ona mataʻituina pea mea lautele i nisi o le Iunivesite o Rochester, ona faanatinati atu lea i Amerika ma toe foʻi mo se lauga ma Michael Scott. O le asiasi atu i tagata uma o Hydra o le a taugata ai se tupe itiiti, ae le o le faitauina o le gaogao o le taimi maumau (e ui lava e foliga mai o se sailiga manaia).

I le isi itu, e tele a matou inisinia sili o loʻo galulue i faʻafitauli faigata i faiga tufatufaina i le taimi nei, ma e mautinoa lava e tele a latou mea e taʻu atu. Ae o le faafitauli lenei - o latou galue, ma e tāua lo latou taimi. Ioe, afai o oe o se tagata faigaluega a Microsoft, Google poʻo JetBrains, o le avanoa e feiloai ai i se tasi o failauga taʻutaʻua i se mea i totonu e faʻateleina, ae i se tulaga lautele, leai, e le tupu i aso uma.

I lenei auala, e ausia ai e le Konafesi a Hydra se galuega taua e le mafai e le toatele oi tatou ona faia na oi tatou - i le nofoaga e tasi ma i le taimi e tasi, e faʻapotopotoina ai tagata o latou manatu poʻo fegalegaleaiga ma e mafai ona suia ai lou olaga. Ou te taʻutino atu e le manaʻomia e tagata uma faiga tufatufaina poʻo ni mea faʻavae faigata. E mafai ona e fa'apolokalame CRUDs ile PHP mo lou olaga atoa ma tumau ai lou fiafia. Ae po'o ai lava e mana'omia, o lou avanoa lenei.

Ua umi se taimi talu mai le faʻasalalauga muamua o le konafesi a Hydra i Habré. I le taimi lea, e tele galuega ua maeʻa - ma o lea ua i ai le matou lisi o lipoti uma. Leai ni algorithms fa'aletonu e tasi-filo, na'o le fa'amama fa'asoa fa'atau! Sei o tatou faauma i upu lautele ma vaai po o le a le mea o lo o i o tatou lima i le taimi nei.

Fa'amatalaga autu

O autu autu e amata ma faaiuina aso o le konafesi. E masani lava o le manatu autu o se faamatalaga autu o le setiina lea o le agaga aoao ma le taitaiga o le konafesi. O le fa'ai'uga fa'ai'uga e tusia ai se laina ma fa'amatala le auala e mafai ona tatou ola ai ma le poto ma tomai na maua i le taimi o le konafesi. Le amataga ma le faaiuga: o le mea e sili ona manatuaina, ma i se tulaga lautele, ua faateleina le taua.

Cliff Click O le H2O tufatufaina K / V algorithm

19 hydra ulu. Vaaiga lautele lelei o le polokalame Cliff o se talatuu i le lalolagi Java. I le faaiuga o le 90s, mo lana suʻesuʻega PhD, na ia tusia ai se pepa e faʻaulutalaina "Tu'ufa'atasia Su'esu'ega, Tu'ufa'atasia Fa'atonuga", lea na avea mulimuli ane ma faavae mo HotSpot JVM Server Compiler. Lua tausaga mulimuli ane, ua uma ona galue o ia i Sun Microsystems i luga o le JVM ma faʻaalia i le lalolagi atoa o le JIT e iai le aia tatau e ola ai. O lenei tala atoa e uiga i le auala o Java o se tasi o taʻaloga sili ona vave faʻaonaponei faʻatasi ai ma faʻataʻitaʻiga sili ona atamai ma sili ona vave sau mai Cliff Click. I le amataga lava, sa talitonuina afai e mafai ona maua se mea i se tuʻufaʻatasiga tuʻufaʻatasia, e te le tau taumafai e faʻapipiʻi. Faʻafetai i le galuega a Cliff ma le 'au, na amata ona fatuina gagana fou uma ma le manatu o le JIT tuʻufaʻatasia e le mafai. O le mea moni, e le o se galuega a le tagata e toʻatasi, ae na faia e Cliff se sao taua tele i ai.

I le amataga amata, o le a talanoa Cliff e uiga i lana isi taumafaiga - H20, o se fa'avae i totonu o le manatua mo le tufatufaina ma fa'alauteleina a'oa'oga masini mo fa'aoga tau alamanuia. Pe sili atu le sa'o, e uiga i le tufatufaina o le teuina o pa'aga autu-taua i totonu. O se teuina vave tele lea ma le tele o mea manaia (o le lisi tonu o loʻo i totonu fa'amatalaga), lea e faʻatagaina ai le faʻaogaina o tali tutusa i le matematika o faʻasalalauga tele.

O le isi lipoti o le a tuuina atu e Cliff o le - Le Azul Hardware Transactional Memory aafiaga. O le isi vaega o lona talaaga - sefulu tausaga galue i Azul, lea na ia faʻafouina ma faʻaleleia ai le tele o mea i le Azul hardware and technology stack: JIT compilers, runtime, filo faʻataʻitaʻiga, faʻaogaina o mea sese, faʻapipiʻi faʻapipiʻi, faʻalavelave faʻalavelave, utaina o vasega, ma isi mea ma isi - lelei, e te maua le manatu.

O le vaega pito sili ona manaia na amata ina ua latou faia meafaigaluega mo se pisinisi tele - o se supercomputer e faʻatautaia Java. O se mea fou, fa'apitoa mo Java, o lo'o iai ni mana'oga fa'apitoa - faitau pa puipui mo le aoina o lapisi maualalo, fa'asologa ma le siakiina o tuaoi, telefoni feavea'i. O le L1 atoa o soʻo se 864 cores e mafai ona auai i tusitusiga fefaʻatauaʻiga, lea e sili ona taua mo le galue ma loka i Java (poloka faʻatasi e mafai ona galulue faʻatasi pe afai e leai se feteʻenaʻiga moni manatua). Ae o le manatu matagofie na nutimomoia e le moni faigata - ma i lenei lauga o le a taʻu atu e Cliff ia te oe pe aisea e le fetaui lelei ai le HTM ma le STM mo manaʻoga faʻapitoa o le tele-fila komepiuta.

Michael Scott - Fa'asologa o fa'amaumauga e lua

19 hydra ulu. Vaaiga lautele lelei o le polokalame Michael Scott - Polofesa o Saienisi Faakomepiuta i le Iunivesite o Rochester, lea na fesoʻotaʻi ai le malaia ua 34 tausaga, ma i lona aiga i le Iunivesite o Wisconsin–Madison, sa avea ai o ia ma pule mo le lima tausaga. Na te su'esu'e ma a'oa'o tamaiti a'oga e uiga i polokalame fa'atusa ma tufatufaina ma fa'asologa o gagana.

Ua iloa e le lalolagi atoa Michael faafetai i le tusi aoga "Polokalame Gagana Pragmatics", o le lomiga lata mai lea na lomia talu ai nei - i le 2015. Lana galuega "Algorithms mo scalable synchronization on shared-memory multiprocessors" mauaina Taui Dijkstra o se tasi o taʻutaʻua i le fanua o le tufatufaina atu o komepiuta ma pepelo fa'aaliali i le Iunivesite o Rochester Online Library. E mafai foi ona e iloa o ia o le tusitala o le Michael-Scott algorithm mai "Fa'afaigofie, Anapogi, ma Fa'ata'ita'i e le'o poloka ma poloka fa'asologa o laina laina".

Ae mo le lalolagi Java, o se tulaga faʻapitoa lenei: faʻatasi ai ma Doug Lea, na ia atiina ae le algorithms e le poloka poloka ma laina faʻatasi o loʻo galue ai faletusi Java. Ole mea tonu lea ole a fa'atatau ile "Dual data structures" - ole fa'aofiina o nei fausaga ile Java SE 6 ua fa'aleleia atili le fa'atinoga ile 10 taimi. java.util.concurrent.ThreadPoolExecutor. Afai o loʻo e mafaufau muamua pe o le a nei "Dual data structures", ona iai lea o faʻamatalaga e uiga i ai galuega fa'atatau.

Maurice Herlihy - Blockchains ma le lumanaʻi o faʻasalalauga tufatufaina

19 hydra ulu. Vaaiga lautele lelei o le polokalame Maurice Herlihy - manumalo i le lua Dijkstra Prizes. O le mea muamua e mo le galuega "Fa'atali e aunoa ma se fa'atasi" (Brown University), ma le lona lua, sili ona lata mai - "Manatua Fefa'ataua'iga: Lagolago Fa'ata'ita'i mo Fa'atonuga Fa'amaumauga e leai se loka" (Virginia Tech University). O le Dijkstra Prize e iloa ai galuega o lona taua ma lona aafiaga na vaaia mo le itiiti ifo ma le sefulu tausaga, ma o Maurice o se tasi o tagata sili ona lauiloa i le fanua. O loʻo galue nei o ia o se polofesa i le Iunivesite o Brown ma o loʻo i ai se parakalafa umi le lisi o mea na ausia.

I lenei autu faaiu, Maurice o le a talanoa e uiga i le aʻoaʻoga ma le faʻataʻitaʻiga o poloka poloka faʻasalalauina faiga mai le manatu o le vasega masani o le tufatufaina atu o komepiuta ma pe faʻafefea ona faʻafaigofieina le tele o faʻafitauli faʻapitoa. Ole lipoti lea e na'o le autu ole fonotaga - e le'o fa'atatau i le fa'atauva'a o le mining, ae fa'atatau i le fa'aogaina lelei ma le talafeagai o lo tatou malamalama e fa'atatau i galuega eseese.

Ia Iulai 2017, ua uma ona sau Maurice i Rusia e auai i le aoga a le SPTDC, auai i le fonotaga a le JUG.ru, ma e mafai ona matamata le pueina i luga o le YouTube:

Polokalama autu

O le a sosoo ai ma se aotelega puupuu o lipoti o loo aofia i le polokalame. O nisi o lipoti o loʻo faʻamatalaina auiliili iinei, o isi faʻapuupuu. O faʻamatalaga uumi na faʻatatau i lipoti i le gagana Peretania e manaʻomia ai fesoʻotaʻiga i pepa faʻasaienisi, faaupuga i Wikipedia, ma isi. O lo'o avanoa le lisi atoa va'ai i luga o le upega tafa'ilagi a le konafesi. O le lisi i luga o le upega tafaʻilagi o le a faʻafouina ma faʻaopoopoina.

Leslie Lampor - Fesili &

19 hydra ulu. Vaaiga lautele lelei o le polokalame Leslie Lamport o le tusitala o galuega seminal i le tufatufaina atu o komepiuta. "LaTeX" e tu mo "Lamport TeX". O ia lea na muamua, i tua i le 1979, na faʻalauiloaina le manatu fa'asologa fa'asolosolo, ma lana tusiga "E faʻafefea ona fai se komepiuta teleprocessor e faʻatino saʻo Polokalama Teleprocess" maua le Taui Dijkstra.

O le vaega sili lea ona le masani ai o le polokalame i tulaga o le faatulagaga, aua e le o se lipoti, ae o se fesili ma tali. Afai o se vaega taua o le au maimoa ua masani (pe mafai ona masani) i ituaiga uma o galuega e faʻavae i luga o le "Lamport's theory", o ana lava tala ma lipoti, e sili atu le taua le faʻaaluina o taimi avanoa uma i fesoʻotaʻiga tuusao.

E faigofie le manatu - e te matamata i lipoti e lua ile YouTube: "Polokalame e tatau ona sili atu nai lo le fa'ailoga" и "Afai e te le o tusia se polokalame, aua le faʻaaogaina se gagana faʻapolokalame" ma saunia ia le itiiti ifo ma le tasi le fesili, ae tali mai Leslie.

Le muamua o nei vitio e lua ua uma ona matou maua liliu i se tusiga habro. Afai e leai sau itula o le taimi e matamata ai i le vitio, e mafai ona e faitau vave uma i tusitusiga.

Manatua: E tele isi Leslie Lamport vitiō ile YouTube. Mo se faʻataʻitaʻiga, o loʻo i ai se mea sili TLA+ vasega. O lo'o maua se fa'asalalauga tuimotu o lenei kosi atoa ile itulau autu a le tusitala, ma sa ia lafoina i luga o le YouTube mo le faigofie ona matamata i masini feaveaʻi.

Matini Kleppmann - Fa'asoa fa'amatalaga i masini fa'aoga mo le fa'asoa fa'atasi

19 hydra ulu. Vaaiga lautele lelei o le polokalame O Martin Kleppmann o se tagata suʻesuʻe i le Iunivesite o Cambridge o loʻo galue i le CRDT ma faʻamaonia aloaia o algorithms. Tusi a Matini "Fausiaina o Talosaga Fa'atonu Fa'amatalaga", lomia i le 2017, na faʻamaonia le manuia tele ma faia i luga o lisi sili ona lelei i le tulaga o le teuina o faʻamaumauga ma le gaosiga. Kevin Scott, CTO i Microsoft, fai mai se tasi: “O lenei tusi e tatau ona avea ma mea e tatau ona i ai mo inisinia polokalama. Ose punaoa e le masani ai e tuʻufaʻatasia aʻoaʻoga ma faʻataʻitaʻiga e fesoasoani ai i le au atinaʻe e sili atu le atamai i le fuafuaina ma le faʻatinoina o atinaʻe ma faʻamaumauga faʻamaumauga. O le na faia le Kafka ma le CTO o Confluent, Jay Kreps, na fai mai se mea faapena.

Aʻo leʻi alu i suʻesuʻega faʻaleaʻoaʻoga, sa galue Matini i alamanuia ma faʻavaeina ni amataga manuia se lua:

  • Rapportive, faʻamaoni i le faʻaalia o le talaaga faʻaagafesootai o fesoʻotaʻiga mai lau imeli, lea na faʻatau e LinkedIn i le 2012;
  • Go Test It, o se 'au'aunaga mo le otometi su'ega 'upega tafaʻilagi i suʻesuʻega eseese, lea na faʻatau e RedGate i le 2009.

I se tulaga lautele, o Matini, e ui ina le lauiloa nai lo a tatou faʻamatalaga autu, ua mafai ona faia se sao i le atinaʻeina o le tufatufaina atu o komepiuta ma le alamanuia.

I lenei lauga, o le a talanoa ai Matini e uiga i se autu e latalata i ana suʻesuʻega faʻaleaʻoaʻoga. I totonu o Google Docs ma isi pepa faʻapipiʻi faʻatasi sofas, "fesoʻotaʻiga faʻatasi" e faʻatatau i se galuega toe faia: e tofu tagata faʻaoga ma a latou lava kopi o le pepa faʻasoa, ona latou suia lea, ma o suiga uma e lafoina i luga o le upega tafaʻilagi i isi vaega. tagata auai. Suiga i pepa e tuusao i tua e taitai atu ai i le le tumau le tumau o le pepa e faasino i isi tagata auai, ma toe fa'amaopoopo e mana'omia ai fete'ena'iga. O le mea tonu lava lena e i ai Ituaiga Fa'amatalaga Fa'atusa e leai se fete'ena'i (CRDT), o le mea moni, o se mea fou fou, o lona uiga na faʻatulagaina i le 2011. O lenei lauga o loʻo talanoaina ai le mea na tupu talu mai lena taimi i le lalolagi o le CRDT, o le a le mea sili ona lata mai, o le auala i le fatuina o faʻaoga-muamua i le lautele ma le faʻaogaina o se faletusi tatala Automerge ae faapito tonu lava.

O le vaiaso a sau o le a matou lolomiina ai se faatalanoaga umi ma Matini i luga o Habré, o le a manaia.

Pedro Ramalhete - Fa'atulagaina fa'amaumauga e leai se fa'atali ma fefa'ataua'iga e leai se fa'atali

19 hydra ulu. Vaaiga lautele lelei o le polokalame O Pedro e galue i Cisco ma o loʻo ia atinaʻeina algorithms tutusa mo le sefulu tausaga talu ai, e aofia ai faiga faʻapipiʻi, faʻamaumauga e leai se loka ma leai se faʻatali ma mea uma e mafai ona e mafaufauina i lenei autu. O ana su'esu'ega ma fa'ainisinia fa'ainisinia o lo'o taula'i atu i Universal Constructions, Software Transactional Memory, Persistent Memory ma fa'atekonolosi fa'apenei e mafai ai ona fa'aoga sa'o, scalable ma fa'aletonu. O ia foi o le tusitala o se blog lauiloa lautele i li'o vaapiapi Concurrency Freaks.

O le tele o fa'aoga fa'asalalau fa'asalalau ua fa'agasolo nei i luga o fa'amaumauga o fa'amaumauga tutusa, mai le fa'aogaina o laina fe'au i le va o tagata fa'atino e o'o atu i fa'amaumauga o fa'amaumauga i totonu o faleoloa tau-taua. Sa latou galulue manuia i le Java JDK mo le tele o tausaga, ma o loʻo faʻaopoopo lemu i le C ++.

O le auala pito sili ona faigofie e faʻatino ai se faʻatulagaga tutusa o faʻamaumauga o se faʻasologa faʻasolosolo (faʻasologa-faʻatasi) faʻatinoga lea e puipuia ai metotia e mutexes. E mafai ona maua i soo se Iuni, ae o loʻo i ai faʻafitauli manino i le faʻavasegaina ma le faʻatinoga. I le taimi lava e tasi, o faʻamaumauga faʻamaumauga e leai se loka ma faʻatali e le gata ina sili atu le faʻafetauiina o mea sese, ae o loʻo i ai foi se faʻataʻitaʻiga sili atu o faʻatinoga - peitaʻi, o lo latou atinaʻe e manaʻomia ai le poto loloto ma fetuunaiga i se talosaga faʻapitoa. E tasi le laina sese o code ua lava lea e talepe ai mea uma.

E faʻafefea ona tatou faia e oʻo lava i se tagata e le o se tagata tomai faapitoa e mafai ona mamanuina ma faʻatinoina ia fausaga faʻamaumauga? E iloa o soʻo se faʻasologa algorithm e mafai ona faʻaogaina le filo i le faʻaaogaina mamanu lautele, po'o le manatua o fefa'atauaiga. Mo se tasi mea, e mafai ona latou faʻaititia le pa puipui i le ulufale atu i le foia o lenei faʻafitauli. Ae ui i lea, o fofo uma e lua e masani ona taʻitaʻia ai le faʻatinoga le lelei. Pedro o le a talanoa e uiga i le auala na latou faʻaogaina ai nei mamanu sili atu ona lelei ma pe faʻapefea ona e faʻaogaina mo au algorithms.

Heidi Howard - Fa'asa'olotoina fa'asoa fa'atasi

19 hydra ulu. Vaaiga lautele lelei o le polokalame O Heidi Howard, e pei o Matini, o se tagata su'esu'e faiga fa'asoa i le Iunivesite o Cambridge. O ana mea fa'apitoa o le tumau, fa'apalepale sese, fa'atinoga ma fa'asoa fa'atasi. E sili ona lauiloa o ia mo lana faʻasalalauga o le Paxos algorithm e taʻua Paxos fetuutuunai.

manatua Paxos o se aiga o faʻasalalauga mo le foia o le faʻafitauli o le maliliega i se fesoʻotaʻiga o komepiuta e le faʻatuatuaina, faʻavae i luga o le galuega a Leslie Lamport. O lea la, o nisi o a tatou failauga o loʻo galulue i faʻafitauli na muaʻi tuʻuina mai e isi a tatou failauga - ma e manaia tele.

O le mafai ona maua le maliliega i le tele o 'au-mo le laugaina, taʻitaʻi palota, poloka, poʻo le faʻamaopoopoina-o se mataupu taua i faiga faʻaonaponei tufatufaina. Paxos ua avea nei ma auala autu e foia ai faʻafitauli autasi, ma e tele suʻesuʻega o loʻo faʻataʻamilo i ai e faʻalautele ma faʻamalieina le algorithm mo manaʻoga faʻatino eseese.

I lenei lauga, o le a tatou toe asia le faavae autu o Paxos, faʻamalieina manaʻoga muamua ma faʻasalalau le algorithm. O le a tatou vaʻai o Paxos e naʻo le tasi le filifiliga i le tele o auala faʻapitoa, ma o isi vaega i luga o alaleo e aoga tele mo le fausiaina o faiga tufatufaina lelei.

Alex Petrov— Fa'aititia au tau e teu ai i le Transient Replication and Cheap Quorums

19 hydra ulu. Vaaiga lautele lelei o le polokalame O Alex ose fa'amaumauga fa'amaumauga ma fa'apolokalame fa'apipi'i, ma e sili atu ona taua mo i matou, o se fa'atonu i totonu Cassandra. O lo'o galue nei o ia i se tusi, Database Internals, ma O'Reilly.

Mo faiga fa'atasi ma mulimuli ane tumau (i le gagana Rusia - "tulaga tumau"), pe a maeʻa se pona poʻo se vaeluaga o fesoʻotaʻiga, e tatau ona e foia le faʻafitauli o loʻo i lalo: pe faʻaauau pea ona faʻatino talosaga, ositaulagaina le tumau, pe mumusu e faʻataunuʻu ma ofoina avanoa. I se faiga fa'apea, quorama, fa'apipi'i vaega laiti o node ma fa'amautinoaina e le itiiti ifo ma le tasi le node o lo'o i ai le tau aupito lata mai, e mafai ona avea ma fofo lelei. E mafai ona e sao mai le toilalo ma le leiloa o le fesoʻotaʻiga i nisi nodes aʻo e tali atu i faʻatauga lata mai.

Ae ui i lea, o mea uma e iai lona tau. O le faiga o le toe faia o le korama o lona uiga o le si'itia o tau e teu ai: e tatau ona teuina fa'amaumauga e tele i le taimi e tasi ina ia mautinoa o lo'o lava kopi avanoa pe a tupu se fa'afitauli. E foliga mai e te le tau teuina uma faʻamatalaga i luga o faʻataʻitaʻiga uma. E mafai ona e fa'aitiitia le uta i luga o le teuina pe afai e te teuina fa'amaumauga na'o se vaega o nodes, ma fa'aoga nodes fa'apitoa (Transient Replica) mo fa'aletonu le taulimaina o fa'aaliga.

I le faagasologa o le lipoti o le a tatou iloiloina Molimau Faatusa, o le faiga toe faia i totonu Totolo и faleoloa mega, ma le faʻatinoina o lenei manatu i Apache Cassandra taʻua Fa'aliliuga Tumau & Korama Taugofie.

Dmitry Vyukov - Goroutines fa'aalia

19 hydra ulu. Vaaiga lautele lelei o le polokalame O Dmitry o se fa'atupuina i Google o lo'o galue i su'ega fa'amalosi mo C/C++ ma Go - Address/Memory/ThreadSanitizer, ma meafaigaluega fa'apena mo le fatu Linux. Saofa'i i le Go se fa'asologa o goroutine fa'avasegaina, se su'esu'ega feso'ota'iga, ma se fa'aputu lapisi tutusa. O ia o se tagata poto faapitoa i le multithreading, o le tusitala o le tele o algorithms fou e le taofia ma o ia e ona le Fusi Uuliuli Intel

O sina mea itiiti nei e uiga i le lipoti lava ia. O le gagana Go o lo'o i ai le lagolago fa'ale-aganu'u mo le tele o filo i foliga o goroutine (filima mama) ma ala (FIFO queues). O nei faiga e matua faigofie ma fiafia i tagata fa'aoga e tusi ai tusi fa'aonaponei e tele filo, ma e foliga mai o se togafiti fa'ataulāitu. E pei ona tatou malamalama ai, e leai se togafiti faataulaitu iinei. I lenei lauga, o le a suʻesuʻe e Dmitry le lavelave o le Go scheduler ma faʻaalia mea lilo o le faʻatinoina o lenei "magic". Muamua, o le a ia tuʻuina atu se aotelega o vaega autu o le faʻatulagaina ma taʻu atu ia te oe le auala e galue ai. O le isi, o le a tatou vaʻavaʻai totoʻa i itu taʻitasi e pei o le taʻiala / le tuʻuina o paka ma le faʻaogaina o le polokaina o telefoni. Mulimuli ane, o le a talanoa Dmitry e uiga i le faʻaleleia atili o le faʻatulagaina.

Dmitry Bugaichenko - Fa'atelevaveina le fa'avasegaina o kalafi fa'asoa fa'atasi ai ma ata fa'ata'ita'i ma isi mea

19 hydra ulu. Vaaiga lautele lelei o le polokalame Sa galue Dmitry i fafo mo le toeitiiti 9 tausaga e aunoa ma le aveesea o fesootaiga ma le iunivesite ma le sosaiete faasaienisi. O suʻesuʻega faʻamatalaga tetele i Odnoklassniki na avea mo ia ma se avanoa tulaga ese e tuʻufaʻatasia ai aʻoaʻoga faʻapitoa ma faʻasaienisi faʻavae ma le atinaʻeina o mea moni, faʻatau oloa.

O le faʻasalalauina o le kalafi o loʻo i ai ma tumau pea o se galuega faigata: pe a manaʻomia le mauaina o faʻamatalaga e uiga i fesoʻotaʻiga o se pito lata ane, o faʻamatalaga e masani ona tatau ona faʻafeiloaʻi i le va o masini, lea e oʻo atu ai i le faʻatupulaia o le taimi o le faʻatinoga ma le uta i luga o fesoʻotaiga. I lenei tautalaga, o le a tatou vaʻai pe faʻapefea ona e maua le tele o gaioiga faʻavavevave e ala i le faʻaogaina o faʻamaumauga faʻapitoa poʻo mea moni e pei o le faʻataʻitaʻiga o le kalafi faauo i totonu o fesoʻotaʻiga lautele. O nei mea uma o loʻo faʻaalia i faʻataʻitaʻiga code i Apache Spark.

Denis Rystsov - Fa'aititia au tau e teu ai i le Transient Replication and Cheap Quorums

19 hydra ulu. Vaaiga lautele lelei o le polokalame Denis - atinaʻe Cosmos DB, o se tagata tomai faapitoa i le siakiina o faʻataʻitaʻiga faʻataʻitaʻiga, faʻasalalauga faʻatasi, ma fefaʻatauaiga tufatufaina. O loʻo galue nei o ia i Microsoft, ma aʻo leʻi galue o ia i luga o faʻasalalauga tufatufaina i Amazon ma Yandex.

I lenei lauga, o le a tatou vaʻavaʻai i faʻasalalauga fefaʻatauaʻiga tufatufaina na faia i nai tausaga ua tuanaʻi, lea e mafai ona faʻatinoina i le itu o le kalani i luga o soʻo se faleoloa faʻamaumauga e lagolagoina le faʻafouina o tuutuuga (faʻatusatusa ma seti). O le laina pito i lalo o le olaga e le muta i le lua-vaega tautinoga, fefaʻatauaiga e mafai ona faʻaopoopo i luga o soʻo se faʻamaumauga - i le tulaga o talosaga, ae o tulafono eseese (2PC, Percolator, RAMP) e eseese fefaʻatauaʻiga ma e le tuʻuina mai ia i tatou. mo le leai o se totogi.

Alexey Zinoviev - E le o ML algorithms uma e faia i le lagi tufatufa

19 hydra ulu. Vaaiga lautele lelei o le polokalame Alexei (saleslaw) ose failauga ua leva ona fai ma sui o komiti o polokalame i isi konafesi. Fa'ata'ita'i faia'oga ile EPAM Systems, ma sa fa'auo ma Hadoop/Spark ma isi fa'amaumauga tele talu mai le 2012.

I lenei lauga, Alexey o le a talanoa e uiga i faafitauli o le fetuutuunai masani masini aʻoaʻoina algorithms mo le faʻatinoina i le tufatufaina atu faʻavae i luga o lona poto masani galue ma Apache Spark ML, Apache Mahout, Apache Flink ML ma le poto masani o le fatuina o Apache Ignite ML. Alexey o le a talanoa foi e uiga i le faʻatinoina o tufatufa ML algorithms i nei faʻavae.

Ma mulimuli ane, lua lipoti mai Yandex e uiga i Yandex Database.

Vladislav Kuznetsov - Yandex Database - fa'afefea ona matou mautinoa le fa'apalepale masei

19 hydra ulu. Vaaiga lautele lelei o le polokalame O Vladislav o se tagata faʻapipiʻi i Yandex i le vaega faʻasalalau faʻasalalau. Yandex Database o se DBMS e mafai ona fa'alava, fa'asalalauina fa'afanua, fa'aletonu e mafai ona tatalia le fa'aletonu o tisiketi, fa'aumau, fata ma nofoaga autu o fa'amaumauga e aunoa ma le leiloa o le fa'atasi. Ina ia faʻamautinoa le faʻapalepale sese, o se algorithm faʻapitoa mo le ausiaina o le maliliega tufatufaina e faʻaaogaina, faʻapea foʻi ma le tele o fofo faʻapitoa, o loʻo talanoaina auiliili i le lipoti. O le lipoti atonu e fiafia uma i latou DBMS atiaʻe ma atinaʻe o fofo talosaga e faʻavae ile DBMS.

Semyon Checherinda - Fa'asoa fa'atauga ile YDB

19 hydra ulu. Vaaiga lautele lelei o le polokalame O Semyon o se tagata faʻapipiʻi i le vaega faʻasalalau faʻasalalau i Yandex, o loʻo galue i luga o le avanoa e faʻaogaina ai le tele o tagata nofoia le faʻapipiʻiina o le YDB.

Yandex Database ua mamanuina mo fesili OLTP ma tausisia manaoga ACID mo se faiga fefaʻatauaʻiga. I totonu o lenei lipoti, o le a tatou mafaufau i le faʻatulagaina o fefaʻatauaiga algorithm o loʻo faʻavaeina le faiga o fefaʻatauaiga a le YDB. Se'i o tatou va'ava'ai po'o fea fa'alapotopotoga o lo'o auai i fefa'ataua'iga, o lo'o tu'uina atu le fa'atonuga o le lalolagi i fefa'atauaiga, pe fa'apefea ona ausia le atomicity o fefa'atauaiga, fa'atuatuaina, ma se tulaga fa'amama. I le faʻaaogaina o se faʻafitauli masani e fai ma faʻataʻitaʻiga, seʻi o tatou vaʻavaʻai i faʻatinoga o fefaʻatauaiga e faʻaaoga ai le lua-vaega commits ma faʻatauga faʻamaonia. Seʻi o tatou talanoaina o latou eseesega.

o sosoo ai le a?

O loʻo faʻaauau pea ona faʻatumuina le polokalama o le konafesi i lipoti fou. Aemaise, matou te faʻamoemoeina se lipoti mai Nikita Koval (ndkoval) mai JetBrains ma Oleg Anastasyev (m0nstermind) mai le kamupani Odnoklassniki. O Nikita e galue i luga o algorithms mo coroutines i le Kotlin team, ma o Oleg e atiina ae le fausaga ma fofo mo faiga maualuga i luga o le Odnoklassniki platform. E le gata i lea, e 1 isi avanoa avanoa, o loʻo galulue le komiti o polokalame ma sui tauva i le taimi nei.

O le fonotaga a Hydra o le a faia ia Iulai 11-12 i St. Petersburg. E avanoa tiketi faʻatau i luga o le upega tafaʻilagi aloaia. Fa'amolemole fa'alogo i le maua o tiketi i luga ole laiga - pe a fai mo se mafua'aga e le mafai ai ona e alu i St. Petersburg i nei aso.

Feiloai i Hydra!

puna: www.habr.com

Faaopoopo i ai se faamatalaga