O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

A'o e galue ile IT, ua amata ona e maitauina o faiga e iai a latou lava uiga. E mafai ona fetuutuunai, le leoa, faanenefu, ma taumuli. E mafai ona latou tosina pe tetee. I se tasi auala poʻo se isi, e tatau ona e "faʻatalanoa" ma i latou, faʻaogaina i le va o "mailei" ma fausia filifili o latou fegalegaleaiga.

O lea na matou maua ai le mamalu o le fausiaina o se ao, ma mo lenei mea na matou manaʻomia ai le "faʻaoleole" ni nai subsystems e galulue faʻatasi ma i matou. O le mea e lelei ai, o loʻo i ai a matou "gagana API", lima tuusaʻo ma le tele o le naunautai.

O lenei tusiga o le a le faʻapitoa faʻapitoa, ae o le a faʻamatalaina faafitauli na matou feagai aʻo fausiaina le ao. Na ou filifili e faʻamatala lo matou ala i foliga o se mafaufauga faʻapitoa faʻapitoa e uiga i le auala na matou suʻeina ai se gagana masani ma faiga ma mea na maua mai ai.

Susu mai ile pusi.

Le amataga o le auala

I se taimi ua tuanaʻi, sa faʻatonuina la matou 'au i le faʻalauiloaina o se ao faʻavae mo matou tagata faʻatau. Sa matou maua le lagolago a le pulega, punaoa, faʻapipiʻi meafaigaluega ma le saolotoga i le filifilia o tekinolosi e faʻatino ai le vaega polokalama o le auaunaga.

Sa i ai foi le tele o manaoga:

  • e mana'omia e le 'au'aunaga se tala patino talafeagai;
  • e tatau ona tu'ufa'atasia le tulaga i le faiga o pili o lo'o iai;
  • polokalame ma meafaigaluega: OpenStack + Tungsten Fabric (Open Contrail), lea na aʻoaʻoina e a tatou inisinia e "kuka" lelei.

Matou te taʻu atu ia te oe i se isi taimi e uiga i le auala na faʻapotopotoina ai le 'au, na faʻatupuina le faʻamatalaga faʻamatalaga a le tagata lava ia ma faia filifiliga mamanu, pe a fiafia le nuu o Habra.
O meafaigaluega na matou filifili e faʻaaoga:

  • Python + Flask + Swagger + SQLAlchemy - o se seti Python masani atoatoa;
  • Vue.js mo le pito i luma;
  • Na matou filifili e fai le fegalegaleaiga i le va o vaega ma auaunaga e faʻaaoga ai le Seleri i luga ole AMQP.

Faʻatali fesili e uiga i le filifilia o le Python, o le a ou faʻamatalaina. Ua maua e le gagana lona tulaga i totonu o la matou kamupani ma o se aganuu laʻititi, ae o loʻo faʻaauau pea, ua atiaʻe ai. O le mea lea, na filifili ai e amata fausia le auaunaga i luga. E le gata i lea, o le saoasaoa o le atinaʻe i ia faʻafitauli e masani ona faʻamaonia.

O lea la, tatou amata la tatou masani.

Pili leoa - pili

Ua leva ona matou iloa lenei alii. Sa nofo o ia i o’u tafatafa ma faitau lemu se mea. O nisi taimi na ia tu'uina mai ai talosaga a tagata fa'aoga ia i matou, tu'uina atu pili o tagata o tausia, ma fa'atautaia auaunaga. O se tagata galue malosi masani. E moni sa iai faigatā. E filemu o ia, o nisi taimi e mafaufau ma masani i lona lava mafaufau.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

Ole pili ole faiga muamua lea na matou taumafai e faauo i ai. Ma o le faʻafitauli muamua na matou feagai o le taimi e faʻatautaia ai auaunaga.

Mo se fa'ata'ita'iga, pe a fai pe tape, e alu se galuega i totonu ole laina ole pili. O le mea lea, o se faiga o galuega asynchronous ma auaunaga ua faʻatinoina. Ina ia faʻagasolo a matou ituaiga auaunaga, matou te manaʻomia le "tuʻu" a matou galuega i lenei laina. Ma o iinei na matou oʻo ai i se faʻafitauli: leai se faʻamaumauga.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

Faʻamasinoina i le faʻamatalaga o le polokalama API, e mafai lava ona foia lenei faʻafitauli, ae matou te leʻi maua se taimi e faia ai le faʻainisinia faʻasolosolo, o lea na matou ave ai le manatu i fafo ma faʻatulagaina se faʻasologa o galuega i luga o le RabbitMQ. O se taotoga i luga o se auaunaga e amataina e le kalani mai lana lava tala, liliu i se "galuega" Seleri i le pito i tua ma faia i luga o le pili ma le OpenStack itu. Celery e faigofie tele ona faʻatautaia galuega, faʻatulagaina toe fai ma mataʻituina le tulaga. E mafai ona e faitau atili e uiga i le "seleli", mo se faʻataʻitaʻiga, iinei.

E le gata i lea, e leʻi taofia e pili tupe se poloketi na leai se tupe. Fesoʻotaʻiga ma le au atinaʻe, na matou iloa ai pe a faʻatusatusa fuainumera (ma e manaʻomia le faʻatinoina tonu o lenei ituaiga o manatu), o loʻo i ai se fesoʻotaʻiga lavelave o tulafono taofi. Ae o nei faʻataʻitaʻiga e le fetaui lelei ma a tatou mea moni. Na matou faʻatinoina foi e ala i galuega i luga o Seleri, ave le faʻatonuga o le pulega o auaunaga i le pito i tua.

O faʻafitauli uma e lua o loʻo i luga na taʻitaʻia ai le faʻaogaina o le code ma i le lumanaʻi e tatau ona tatou toe faʻaleleia ina ia mafai ai ona faʻagasolo le manatu mo le galue i galuega i se isi auaunaga. Matou te manaʻomia foʻi le teuina o nisi faʻamatalaga e uiga i tagata faʻaoga ma a latou tautua i totonu oa matou laulau e lagolago ai lenei manatu.

O le isi faafitauli o le filemu.

Tali leoa Billy “Ua lelei” i nisi o talosaga API. O le tulaga lea, mo se faʻataʻitaʻiga, ina ua matou faia totogi o totogi folafolaina i le taimi o le suʻega (sili atu i lena mea mulimuli ane). Sa sa'o le fa'atinoina o talosaga ma matou te le'i va'aia ni mea sese.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

Sa tatau ona ou suʻesuʻeina ogalaau aʻo ou galue ma le faiga e ala i le UI. Na aliali mai o le pili o ia lava e faia talosaga tutusa, suia le lautele i se tagata faʻapitoa, mo se faʻataʻitaʻiga, admin, pasi i le su parameter.

I se tulaga lautele, e ui lava i avanoa i faʻamaumauga ma nai faaletonu API, na sologa lelei mea uma. O ogalaau e mafai ona faitau e tusa lava pe i lalo o le mamafa o uta pe afai e te malamalama pe faʻapefea ona faʻatulagaina ma mea e suʻeina. O le fausaga o le database e teuteu, ae e fetaui lelei ma i nisi o auala e manaia.

O lea la, i le aotelega, o faʻafitauli autu na matou feagai i le taimi o fegalegaleaiga e fesoʻotaʻi ma faʻatinoga faʻatinoga o se faiga faʻapitoa:

  • "foliga" e le'i tusiaina na aafia ai i tatou i se tasi itu po o se isi;
  • puna tapuni (o loʻo tusia pili ile C ++), o se taunuuga - e le mafai ona foia faʻafitauli 1 i soʻo se auala e ese mai i le "faʻataʻitaʻiga ma mea sese".

O le mea e lelei ai, o le oloa o loʻo i ai se API lautele lautele ma ua matou tuʻufaʻatasia vaega nei i totonu o la matou faʻamatalaga patino:

  • vaega lagolago fa'atekinisi - o talosaga mai lau lava teugatupe e "fa'asolo" i pili fa'apitoa mo tagata fa'atau;
  • vaega tau tupe - fa'atagaina oe e tu'uina atu pili i tagata o lo'o i ai nei, fai tusi-fa'ailoga ma fa'atupu pepa totogi;
  • 'au'aunaga fa'atonutonu module - mo lenei mea e tatau ona matou fa'atinoina la matou lava fa'atonu. O le faʻalauteleina o le faiga na faʻaalia i o matou lima ma matou "aʻoaʻoina" Billy se ituaiga o auaunaga fou.
    Sa fai si faigata, ae o le a lava le itu po o le isi, ou te manatu o le a ma lelei ma Billy.

Savalivali i fanua tungsten - Tungsten Fabric

O fanua tungsten ua tumu i le faitau selau o uaea, ma pasi atu ai le faitau afe o vaega o faamatalaga ia i latou. O faʻamatalaga e aoina i totonu o "paketi", faʻavasega, fausia auala lavelave, e pei o se togafiti.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

O le vaega lea o le faiga lona lua lea e tatau ona matou faauo ai - Tungsten Fabric (TF), muamua OpenContrail. O lana galuega o le fa'afoeina o masini feso'ota'iga, tu'uina atu se fa'aogaina o polokalame ia i matou o tagata fa'aoga. TF - SDN, faʻapipiʻi le faʻalavelave faʻapitoa o le galue ma meafaigaluega fesoʻotaiga. O loʻo i ai se tala lelei e uiga i tekinolosi lava ia, mo se faʻataʻitaʻiga, iinei.

O loʻo tuʻufaʻatasia le faiga ma OpenStack (talanoaina i lalo) e ala i le Neutron plugin.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk
Fegalegaleaiga o auaunaga OpenStack.

O tama mai le matagaluega o gaioiga na faʻafeiloaia i matou i lenei faiga. Matou te fa'aogaina le API a le faiga e pulea ai le fa'aputuga o feso'ota'iga oa matou auaunaga. E leʻi mafua ai ni faʻafitauli matuia poʻo ni faʻalavelave i le taimi nei (e le mafai ona ou tautala mo tama mai le OE), ae o loʻo i ai ni mea faʻalavelave i fegalegaleaiga.

O le mea muamua e pei o lenei: poloaiga e manaʻomia ai le faʻauluina o le tele o faʻamatalaga i le faʻamafanafanaga faʻataʻitaʻiga pe a faʻafesoʻotaʻi e ala i le SSH naʻo le "tautauina" le fesoʻotaʻiga, ae ala i le VNC o mea uma na galue lelei.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

Mo i latou e le masani i le faʻafitauli, e foliga malie lava: ls / root e galue saʻo, ae, mo se faʻataʻitaʻiga, o le pito i luga e "malosi" atoa. O le mea e lelei ai, sa matou feagai muamua ma faʻafitauli faapena. Na fa'ai'uina e ala i le fa'alogoina o le MTU i luga o le ala mai le fa'aputuga o nodes i le au ta'avale. I le auala, e le o se faʻafitauli TF.

O le isi faʻafitauli sa latalata ane. I se tasi taimi "matagofie", na mou atu le togafiti faataulaitu, e pei lava o lena. Ua taofia e le TF le puleaina o auala i masini.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

Sa matou galulue fa'atasi ma Openstack mai le tulaga fa'atonu ma ina ua mae'a fa'asolo atu i le tulaga mana'omia mo tagata fa'aoga. O le SDN e foliga mai e "faoa" le lautele o le tagata faʻaoga o loʻo faia ia gaioiga. O le mea moni o le tala lava lea e tasi e faʻaaogaina e faʻafesoʻotaʻi ai le TF ma OpenStack. I le laasaga o le fesuiaʻi i le tagata faʻaoga, na mou atu le "magic". Na tonu e faia se tala ese e galulue ai ma le faiga. O lenei mea na mafai ai ona matou galulue e aunoa ma le motusia o galuega faʻapipiʻi.

Silicon Lifeforms - OpenStack

O se meaola silikoni uiga ese e nofo latalata i fanua tungsten. Ae sili atu i mea uma, e foliga mai o se tamaititi ua matua tele e mafai ona tuʻimomomoina i tatou i le sasau e tasi, ae leai se osofaʻiga manino e sau mai ia te ia. E le tupu ai le fefe, ae o lona telē e faaosofia ai le fefe. E faapena foi le lavelave o mea o loo tutupu i autafa.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

OpenStack o le totonugalemu lea o la matou faʻavae.

OpenStack ei ai le tele o subsystems, lea matou te faʻaaogaina nei Nova, Glance ma Cinder sili ona malosi. E tofu i latou ma lana API. O Nova e nafa ma le faʻatulagaina o punaoa ma le fatuina o faʻataʻitaʻiga, o Cinder e nafa ma le puleaina o voluma ma a latou ata, Glance o se auaunaga ata e pulea ai faʻataʻitaʻiga OS ma metainformation ia i latou.

O au'aunaga ta'itasi o lo'o tamomoe i totonu o se atigipusa, ma o le fa'asalalauga fe'au o le "lapiti pa'epa'e" - RabbitMQ.

O lenei faiga na matou maua ai le faʻalavelave sili ona leʻi mafaufauina.

Ma o le faafitauli muamua e leʻi umi ona oʻo mai ina ua matou taumafai e faʻafesoʻotaʻi se isi voluma i le server. O le Cinder API na musu e fai lenei galuega. E sili atu le saʻo, afai e te talitonu OpenStack lava ia, ua faʻamautuina le fesoʻotaʻiga, ae leai se masini tisiki i totonu o le masini komepiuta.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

Na matou filifili e uia se auala ma talosagaina le gaioiga tutusa mai le Nova API. O le taunuuga o le masini e fesoʻotaʻi saʻo ma e mafai ona maua i totonu o le server. E foliga mai o le faʻafitauli e tupu pe a le tali atu le poloka-teuga i Cinder.

O le isi faigata o loʻo faʻatali mai ia i matou pe a galulue ma tisiki. E le mafai ona motusia le so'oga mai le server.

Toe fo'i, OpenStack lava ia "palauvale" na ia fa'aleagaina le feso'ota'iga ma o lea e mafai ona e galue sa'o ma le voluma eseese. Ae o le API e leʻi manaʻo e faʻatino galuega i luga o le disk.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

O iinei na matou filifili ai e le tau faapitoa, ae ia suia la matou vaaiga i le mafuaaga o le auaunaga. Afai ei ai se faʻataʻitaʻiga, e tatau foi ona i ai se volume system. O le mea lea, e le mafai e le tagata faʻaoga ona aveese pe faʻamalo le polokalama "disk" e aunoa ma le tapeina o le "server".

OpenStack o se seti lavelave o faiga faʻatasi ai ma ana lava fesoʻotaʻiga fesoʻotaʻiga ma API teuteu. E fesoasoani mai ia i matou e ala i faʻamatalaga auʻiliʻili ma, ioe, faʻataʻitaʻiga ma mea sese (o fea tatou te i ai e aunoa ma lena).

Su'ega su'ega

Na matou faia se faʻataʻitaʻiga faʻataʻitaʻiga ia Tesema o le tausaga talu ai. O le galuega autu o le faʻataʻitaʻiina lea o la matou poloketi i le tulaga tau mai le itu faʻapitoa ma mai le itu UX. Na valaaulia filifilia le aofia ma tapunia le suega. Ae ui i lea, ua matou tuua foi le filifiliga e talosagaina avanoa i suʻega i luga o la matou upega tafaʻilagi.

O le suega lava ia, o le mea moni, e leʻi aunoa ma ona taimi malie, aua o le mea lea e amata ai a tatou malaga.

Muamua, sa fai si sese o matou su'esu'eina o le fiafia i le poloketi ma sa tatau ona fa'aopoopo fa'avave fa'akomepiuta i le taimi o le su'ega. O se tulaga masani mo se fuifui, ae sa i ai foi ni nuances iinei foi. O faʻamaumauga mo se faʻamatalaga patino o le TF o loʻo faʻaalia ai le faʻamatalaga patino o le fatu na faʻataʻitaʻiina ai le galuega ma vRouter. Na matou filifili e faʻalauiloa nodes ma ni fatu lata mai. O le i'uga, e le'i maua e TF auala mai nodes. Sa tatau ona ou toe vili faavave i tua fatu.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

O le isi fiailoa e fesoʻotaʻi ma le faʻaogaina o le "suia le upu faʻaulu" faʻamau i lau lava tala.

Na matou filifili e faʻaaoga le JWT e faʻatulaga ai le avanoa i la matou faʻamatalaga patino ina ia aua neʻi galulue i sauniga. Talu ai o faiga e eseese ma faʻasalalau lautele, matou te pulea a matou lava faʻailoga, lea matou te "afi" ai sauniga mai pili ma se faʻailoga mai OpenStack. Pe a suia le upu faʻamaonia, o le faʻailoga, ioe, "e leaga", talu ai e le toe aoga le faʻamatalaga a le tagata faʻaoga ma e manaʻomia le toe tuʻuina atu.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk

Ua galo ia i matou le vaega lea, ma ua le lava ni punaoa e vave faauma ai lenei vaega. Sa tatau ona matou tipi ese le gaioiga aʻo leʻi amataina le suʻega.
I le taimi nei, matou te logo i fafo le tagata faʻaoga pe afai ua suia le uputatala.

E ui lava i nei faʻaaliga, na sologa lelei suʻega. I ni nai vaiaso, e tusa ma le 300 tagata na afe ane. Na mafai ona matou vaʻavaʻai i le oloa e ala i mata o tagata faʻaoga, faʻataʻitaʻi i le gaioiga ma aoina faʻamatalaga maualuga.

Ia faaauau pea

Mo le toatele oi tatou, o le poloketi muamua lenei o lenei fua. Na matou a'oa'oina le tele o lesona taua e uiga i le galulue fa'atasi ma le faia o fa'ai'uga faufale ma fa'ailoga. E faʻafefea ona tuʻufaʻatasia faiga faʻalavelave ma ni punaoa laiti ma taʻavale i latou i le gaosiga.

Ioe, o loʻo i ai se mea e galue ai i tulaga uma e lua ma le faʻaogaina o fesoʻotaʻiga faʻapipiʻi. O le poloketi e fai si laʻititi, ae matou te tumu i faʻamoemoega e faʻatupulaia i se auaunaga faʻalagolago ma faigofie.

Ua uma ona mafai ona matou faʻamalosia faiga. E taulimaina ma le faamaoni e Pili le faitau, pili, ma talosaga a tagata i totonu o lana kapoti. O le "magic" o fanua tungsten tatou te maua ai fesootaiga mautu. Ma e naʻo OpenStack i nisi taimi e faʻafefeteina, alaga se mea e pei o le "'WSREP e leʻi saunia le node mo le faʻaogaina o le talosaga." Ae o se tala e matua ese lava ...

Na matou tatalaina talu ai nei le auaunaga.
E mafai ona e mauaina fa'amatalaga uma ile matou saite.

O le talaʻaga o le faʻavaeina o se auaunaga ao, faʻafefeteina i le cyberpunk
CLO Atina'e Vaega

aoga fesoʻotaʻiga

OpenStack

Ie Tungsten

puna: www.habr.com

Faaopoopo i ai se faamatalaga