Talanoaga: O le OpenROAD poloketi e faʻamoemoe e foia le faʻafitauli o le faʻaogaina o masini faʻatulagaina

Talanoaga: O le OpenROAD poloketi e faʻamoemoe e foia le faʻafitauli o le faʻaogaina o masini faʻatulagaina
--Ото - Pexels — CC BY

Saunia e tuuina atu PWC, o loʻo faʻatupulaia le maketi tekonolosi semiconductor - i le tausaga talu ai na ausia ai le $ 481 piliona. Ae o lona tuputupu ae talu ai nei faaitiitia. O mafuaʻaga o le faʻaitiitia e aofia ai le fenumiai o faiga faʻavae masini ma le leai o se masini.

I nai tausaga talu ai, inisinia mai Intel tusiape a fatuina se microprocessor maualuga e tatau ona e faʻaogaina le 100-150 mea faigaluega eseese (MA MAI). O le tulaga e mafai ona faʻateleina i le tulaga o masini eseese, o lona fausaga e aofia ai le tele o ituaiga tupe meataalo - ASIC, FPGA, PPU poʻo le GPU. O le iʻuga, e tupu faʻaletonu mamanu e faʻatuai ai le tuʻuina atu o oloa.

E ui lava i le tele o mea faigaluega fesoasoani, o loʻo faʻamalosia pea enisinia e fai ni galuega ma le lima. O tusitala o le tusi "Fa'asologa Fa'atonu Fa'atonu"latou te fai mai o nisi taimi o tagata mamanu tatau ona tusi tusitusiga i le Skill poʻo le Python o le lua miliona laina e fatu ai faletusi ma sela.

O lo'o tusia fo'i fa'amatalaga e fa'avasega lipoti na fa'atupuina e faiga EDA. Pe a atiaʻe se pu e faʻaaoga ai le 22nm process technology, o nei lipoti e mafai ona oʻo atu i le 30 terabytes.

Na filifili le DARPA e faʻasaʻo le tulaga ma taumafai e faʻataʻatia le faiga o mamanu. I le ofisa foi mafaufauo auala o lo'o i ai mo le fausiaina o chips ua tuai. Faalapotopotoga faalauiloa polokalame lima tausaga OpenROAD, lea e fa'amoemoe e atia'e ni meafaigaluega fou e fa'autometi ai faiga fa'ata'ita'iga.

O le a le ituaiga polokalame

O le polokalame e aofia ai le tele o galuega faatino e faʻaogaina ai masini aʻoaʻoga ma tekinolosi ao e faʻatometi ai vaega taʻitasi o le fatuina o chip. O se vaega o le taumafaiga o lo'o atina'e (ata 1) sili atu i le sefulu meafaifaaili. Sosoo ai o le a tatou talanoa atili auiliili e uiga i nisi o latou: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Fa'afe'au Fa'asolo ose meafaigaluega mo le puleaina o faletusi RTL ma GDSII. O mea mulimuli o faila faʻamaumauga o se tulaga faʻapisinisi mo le fesuiaʻiina o faʻamatalaga e uiga i fesoʻotaʻiga tuʻufaʻatasia ma o latou topologies. Ole fofo e faʻavae ile Docker container technology. E mafai ona e tamoe Flow Runner i le ao ma le lotoifale. O le taʻiala faʻapipiʻi o loʻo i totonu o le fale teu oloa aloaia i luga ole GitHub.

Toe sui o se fofo ao e faʻavae i luga o masini aʻoaʻoga, lea e nafa ma le tuʻuina o vaega i luga o se vaʻa ma faʻautometi auala. E nisi faamatalaga, algorithms atamai e faʻateleina le lelei o le meafaigaluega e 2-10% faʻatusatusa i faiga masani. E le gata i lea, o le faʻatinoga i le ao e faʻafaigofie ai le faʻaogaina. O loʻo avanoa foʻi le faʻapipiʻiina ma le faʻatulagaina o taʻiala i totonu o le fale teu oloa.

TritonCTS - o se aoga mo le fa'amalieina o pulupulu o le uati e tu'uina atu i le pu. Fesoasoani e fa'asolo fa'ailoga uati i vaega uma o le masini fa'atasi ai ma fa'atuai tutusa. O le faiga faavae e faavae i luga H-laau. O lenei faiga faateleina fa'ailoga fa'ailoga lelei e 30% fa'atusatusa i auala masani. Fai mai le au atinaʻe i le lumanaʻi e mafai ona faʻateleina lenei fuainumera i le 56%. TritonCTS source code ma tusitusiga e maua i luga ole GitHub.

OpenSTA - o se afi mo su'esu'ega taimi fa'ata'ita'i. E maua ai e le tagata mamanu le avanoa e siaki ai le gaioiga o le pu aʻo leʻi faʻapipiʻiina moni. Fa'ata'ita'iga code i OpenSTA foliga foliga faapei o lea.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

E lagolagoina e le aoga faʻamatalaga upega tafaʻilagi o le Verilog code, faletusi o le Liberty, faila SDC, ma isi.

Le lelei ma le le lelei

Tagata popoto mai IBM ma IEEE faamanatuo le ao tekinolosi ma masini aʻoaʻoga ua leva ona tatau ona faʻaaogaina i le gaosiga o chip. I lo latou manatu, o le poloketi DARPA e mafai ona avea ma faʻataʻitaʻiga manuia o le faʻatinoga o lenei manatu ma o le a tuu le amataga o suiga i le alamanuia.

O loʻo faʻamoemoeina foi o le natura tatala o OpenROAD o le a fausia ai se faʻalapotopotoga malosi i luga o meafaigaluega ma tosina mai ai ni amataga fou.

Talanoaga: O le OpenROAD poloketi e faʻamoemoe e foia le faʻafitauli o le faʻaogaina o masini faʻatulagaina
--Ото - Pexels — CC BY

Ua uma ona iai tagata auai - o se falesuesue e atiae meataalo e faavae i le Iunivesite o Michigan, o le a muamua, o ai o le a suʻeina OpenROAD meafaigaluega tatala punaoa. Ae e le o iloa pe o le a mafai e fofo fou ona i ai se aafiaga iloga i le tau o oloa mulimuli.

I le aotelega, o meafaigaluega o loʻo atiaʻe i lalo o le taʻitaʻiga a le DARPA e faʻamoemoe e iai se aafiaga lelei i le gaosiga o pisinisi, ma o le a amata ona faʻaalia nisi galuega fou i lenei vaega. O se faʻataʻitaʻiga o se meafaigaluega GEDA - e mafai ai e oe ona mamanuina meataalo ma se numera e le faʻatapulaʻaina o vaega. gEDA e aofia ai mea faʻaoga mo le faʻataʻitaʻia ma le faʻataʻitaʻiina o microcircuits ma le taʻavale laupapa. O le fofo na atiae mo UNIX platforms, ae o le tele o ona vaega o loʻo galue foi i lalo o Windows. E mafai ona maua se taiala i le galulue faatasi ma i latou i faʻamaumauga i luga o le upega tafaʻilagi o le poloketi.

O mea faigaluega maua fua e tu'uina atu ai fa'alapotopotoga tuto'atasi ma fa'amata'aga nisi filifiliga. Atonu i le aluga o taimi, o auala fou a OpenROAD i le atinaʻeina o meafaigaluega a le EDA ma le mamanu o chip e mafai ona avea ma tulaga faʻapisinisi.

O mea matou te tusia e uiga i la matou blog pisinisi:

puna: www.habr.com

Faaopoopo i ai se faamatalaga