Google ua tu'uina atu le avanoa mo le faia fua o fa'ata'ita'iga vaega o tupe meataalo tatala

Google, i le galulue faʻatasi ma kamupani gaosi SkyWater Technology ma Efabless, ua faʻalauiloaina se faʻamoemoe e faʻatagaina ai le atinaʻeina o meafaigaluega tatala e fai mea meataalo latou te atiina ae e aunoa ma se totogi. O le fuafuaga e faʻamoemoe e faʻaosofia le atinaʻeina o meafaigaluega tatala, faʻaititia le tau o le atinaʻeina o galuega tatala ma faʻafaigofie fegalegaleaiga ma fale gaosi oloa. Fa'afetai i le fa'amoemoe, e mafai e so'o se tasi ona amata fa'atupuina a latou lava meataalo masani e aunoa ma le fefe i le maualuga o tau o le gaosiga o ulua'i fa'ata'ita'iga. O tau uma o gaosiga, afifiina ma felauaiga o lo'o ufiufi e Google.

Talosaga mo le fa'aaofia i totonu o le polokalama e maua fua e mafai ona tu'uina fa'atasi i masina ta'ilua. O le avanoa lata ane o le a tapunia i le aso 8 o Iuni, ma o tupe meataalo na mafai ona ulufale i totonu o le a saunia i le aso 30 o Aokuso ma auina atu i tusitala ia Oketopa 18. Mai talosaga na tuʻuina atu, e 40 poloketi e filifilia (afai o talosaga na tuʻuina atu e itiiti ifo i le 40, ona tuʻuina atu lea o i latou uma na pasia le siaki saʻo). E tusa ai ma taunuuga o le gaosiga, o le a maua e le tagata atiae le 50 tupe meataalo ma 5 laupapa faʻatasi ai ma meataalo faʻapipiʻi.

O talosaga e talia na'o poloketi ua tufatufaina atoa i lalo o laisene tatala, e le fa'alavelaveina i maliega e le fa'ailoaina (NDAs) ma le fa'atapula'aina le lautele o le fa'aogaina oa latou oloa. O faʻamatalaga mo le gaosiga e tatau ona faʻafeiloaʻi i le GDSII format, pasi le seti suʻega tuʻuina atu ma toe faʻaleleia mai faila mamanu faʻapogai (e pei o le tuʻuina atu o se poloketi tatala, ae o le a le mafai ona e tuʻuina atu se mamanu faʻapitoa mo le gaosiga).

Ina ia faʻafaigofie le atinaʻeina o chip, o loʻo avanoa nei meafaigaluega faʻapipiʻi tatala:

  • SkyWater PDK (Process Design Kit), o se meafaigaluega e faʻamatalaina ai le 130nm faʻapitoa faʻapitoa (SKY130) faʻaaogaina i le SkyWater plant ma faʻatagaina oe e saunia faila mamanu e manaʻomia mo le gaosiga o microcircuits.
  • O OpenLane o se seti o vaega mo le faʻaogaina otometi o le RTL circuit design of application-specific chips (ASICs) i le GDSII format faʻaaogaina i fale gaosi oloa.
    Google ua tu'uina atu le avanoa mo le faia fua o fa'ata'ita'iga vaega o tupe meataalo tatala
  • XLS (Accelerated HW Synthesis) o se meafaigaluega mo le tuʻufaʻatasia o faila mamanu ma masini masini e fetaui ma le faʻamatalaga maualuga o loʻo tuʻuina atu o galuega manaʻomia, fuafuaina i le faiga o le atinaʻeina o polokalama.
  • Se seti o tulafono mo le Bazel faʻapotopotoga faʻatasi ma le lagolago mo meafaigaluega tatala (Yosys, Verilator, OpenROAD) mo le galue i gagana faʻamatalaga meafaigaluega (Verilog, VHDL, Chisel, nMigen).
  • OpenROAD o se faʻavae mo le faʻaogaina otometi le faʻagasologa o le atinaʻeina o microcircuits open source.
  • O le Verible o se seti o meafaigaluega mo le atinaʻeina i le gagana Verilog, e aofia ai se parser, faiga faʻapipiʻi faiga ma linter.

puna: opennet.ru

Faaopoopo i ai se faamatalaga