Linux 5.11 faʻamalolo kernel

Ina ua maeʻa le lua masina o le atinaʻe, na tuʻuina atu ai e Linus Torvalds le faʻamalolo o le Linux kernel 5.11. Faatasi ai ma suiga sili ona iloga: lagolago mo Intel SGX enclaves, se faiga fou mo le vavao o le telefoni feaveaʻi, se pasi fesoasoani faʻapitoa, faʻasaina le faʻapipiʻiina o modules e aunoa ma le MODULE_LICENSE (), se faiga faʻapipiʻi vave mo telefoni feaveaʻi i seccomp, faʻamutaina o le lagolago mo le ia64 fausaga, faʻafeiloaʻi o tekinolosi WiMAX i le lala "staging", le mafai ona faʻapipiʻi SCTP i le UDP.

O le lomiga fou e aofia ai 15480 faʻaleleia mai le 1991 developers, o le patch tele o le 72 MB (o suiga na aʻafia ai 12090 faila, 868025 laina o code na faʻaopoopoina, 261456 laina na tapeina). E tusa ma le 46% o suiga uma na faʻaalia i le 5.11 e fesoʻotaʻi ma taʻavale masini, e tusa ma le 16% o suiga e fesoʻotaʻi ma le faʻafouina o tulafono faʻapitoa i fausaga faʻapitoa, 13% e fesoʻotaʻi ma le faʻapipiʻi fesoʻotaʻiga, 3% e fesoʻotaʻi ma faila faila, ma 4% e feso'ota'i i totonu ole kernel subsystems.

Autu fa'afouga:

  • Disk subsystem, I/O ma faila faiga
    • E tele filifiliga faʻapipiʻi ua faʻaopoopoina i Btrfs mo le faʻaaogaina pe a toe faʻaleleia faʻamaumauga mai se faila faila ua faaleagaina: "laveaʻi =ignorebadroots" mo le faʻapipiʻiina, e ui lava i le faʻaleagaina o nisi o aʻa (tele, uuid, data reloc, masini, csum, avanoa avanoa), " laveai =ignoredatacsums" e faʻamalo siaki siaki siaki mo faʻamatalaga ma "laveaʻi = uma" e mafai ai i le taimi e tasi le 'ignorebadroots', 'ignoredatacsums' ma 'nologreplay' modes. O le "inode_cache" mount option, lea sa le'i fa'aaogaina, ua fa'agata. Ua saunia le fa'ailoga e fa'atino ai le lagolago mo poloka e iai metadata ma fa'amaumauga e la'ititi nai lo le lapopoa o le itulau (PAGE_SIZE), fa'apea fo'i ma le lagolago mo le tu'ufa'atasiga o avanoa. O talosaga e le'i fa'asalaina (Direct IO) ua si'i atu ile atina'e iomap. O le faʻatinoga o le tele o faʻagaioiga ua sili ona lelei; i nisi tulaga, o le saoasaoa e mafai ona oʻo atu i le sefulu pasene.
    • O lo'o fa'atinoina e le XFS le fu'a "needsrepair", lea e fa'ailoa mai ai le mana'omia o le toe fa'aleleia. Pe a seti lenei fuʻa, e le mafai ona faʻapipiʻi le faila faila seʻia oʻo ina toe setiina le fuʻa e le aoga xfs_repair.
    • E na'o le Ext4 e ofoina atu le fa'aleleia o pusa ma fa'ata'ita'iga, fa'apea fo'i ma le fa'amamaina o tulafono.
    • Toe fa'aulufale atu o faila faila fa'apipi'i i luga ole NFS ua fa'atagaina (e pei o se vaeluaga fa'apipi'i ile NFS e mafai nei ona fa'atau atu ile NFS ma fa'aoga e fai ma fa'aoga vavao).
    • Ole close_range() system call, lea e fa'atagaina ai se faiga e tapuni ai se vaega atoa o fa'amatalaga faila matala i le taimi e tasi, ua fa'aopoopoina se filifiliga CLOSE_RANGE_CLOEXEC e tapuni ai fa'amatalaga ile fa'ata'ita'iga vavalalata.
    • O le faila faila F2FS e fa'aopoopoina ai le ioctl() vala'au e fa'ataga ai le fa'aogaina o avanoa e fa'aoga ai faila o lo'o teuina i le fomu fa'apipi'i. Faʻaopoopo le "compress_mode=" mount option e filifili pe tuʻu le faʻapipiʻi faʻapipiʻi i luga o le itu fatu poʻo le avanoa e faʻaoga ai.
    • Tuuina atu le gafatia e faʻapipiʻi ai Overlayfs e ala i faiga faʻapitoa e faʻaaoga ai se isi igoa ole igoa. Ina ia faʻamaonia le tausisia o le faʻataʻitaʻiga faʻataʻitaʻiga o le puipuiga, na faia ai se suʻega faʻailoga atoa. E fa'aopoopoina fo'i e Overlayfs le agava'a e fa'aoga ai kopi o ata faila faila e ala i le fa'agata le siakiina o le UUID.
    • O le Ceph file system ua faʻaopoopoina le lagolago mo le msgr2.1 protocol, lea e faʻatagaina ai le faʻaogaina o le AES-GCM algorithm pe a tuʻuina atu faʻamatalaga i le faʻailoga faʻailoga.
    • O le dm-multipath module o loʻo faʻaaogaina le mafai ona amanaia le CPU affinity ("IO affinity") pe a filifilia le auala mo talosaga I / O.
  • Auaunaga manatua ma faiga
    • Ua fa'aopoopoina se faiga fou fa'afeso'ota'i telefoni, fa'avae i luga o le prctl(), lea e fa'atagaina ai oe e fa'atupu tu'usuga mai avanoa fa'aoga pe a fa'aogaina se telefoni fa'apitoa ma fa'ata'ita'i lona fa'atinoina. O lenei faʻatinoga e manaʻomia i le Uaina ma le Proton e faʻataʻitaʻi ai Windows system calls, lea e manaʻomia e faʻamautinoa ai le fetaui ma taʻaloga ma polokalame e faʻatino saʻo ai telefoni feaveaʻi e ala i le Windows API (mo se faʻataʻitaʻiga, e puipuia mai le faʻaaogaina e le faʻatagaina).
    • O le userfaultfd() system call, ua fuafuaina e taulimaina fa'aletonu itulau (avanoa i itulau manatua e le'i fa'avasegaina) i le avanoa e fa'aoga ai, ua i ai nei le malosi e fa'agata ai le fa'aogaina o mea e tupu i le kernel level e fa'afaigata ai le fa'aogaina o nisi fa'afitauli.
    • O le BPF subsystem ua faʻaopoopoina le lagolago mo galuega-faʻatonuga faʻapitonuʻu, lea e tuʻuina atu ai faʻamaumauga e fusifusia i se BPF faʻapitoa.
    • O le su'esu'ega o le fa'aaogaina o manatua e polokalame BPF ua mae'a toe fa'atulagaina - o le cgroup controller ua tu'uina atu nai lo le memlock rlimit e pulea ai le fa'aogaina o mafaufauga i mea BPF.
    • O le BTF (BPF Type Format), lea e maua ai faʻamatalaga siaki ituaiga ile BPF pseudocode, e maua ai le lagolago mo faʻaoga fatu.
    • Fa'aopoopo le lagolago mo le tapuni(), renameat2() ma le unlinkat() vala'au i le io_uring asynchronous I/O interface. Pe a valaʻau io_uring_enter(), ua faʻaopoopoina le mafai e faʻamaonia ai se taimi malolo (e mafai ona e siaki le lagolago mo le finauga e faʻamaonia ai se taimi e faʻaaoga ai le fuʻa IORING_FEAT_EXT_ARG).
    • O le fausaga ia64 na faʻaaogaina i le Intel Itanium processors ua siitia i le vaega matuaoti, o lona uiga ua faʻagata le suʻega. Na taofia e Hewlett Packard Enterprise le taliaina o poloaiga mo mea fou Itanium, ma na faia e Intel i le tausaga talu ai.
    • Lagolago mo faiga fa'avae i luga ole fa'ata'ita'iga a le MicroBlaze e le'o aofia ai se vaega ole fa'atonuga manatua (MMU) ua fa'agata. O ia faiga ua leva ona le vaaia i aso faisoo.
    • Mo le fausaga o le MIPS, ua fa'aopoopoina le lagolago mo su'ega fa'akomupiuta e fa'aaoga ai le gcov utility.
    • Fa'aopoopoina le lagolago mo le pasi fesoasoani mo le fa'afeso'ota'i ma masini multifunction e tu'ufa'atasia galuega e mana'omia ai ta'avale eseese (mo se fa'ata'ita'iga, kata feso'ota'iga ma Ethernet ma RDMA lagolago). E mafai ona fa'aoga le pasi e tu'u ai le aveta'avale muamua ma le lua i se masini, i tulaga e fa'alavelave ai le fa'aogaina o le MFD (Multi-Function Devices).
    • Mo le fausaga o le RISC-V, ua fa'aopoopoina le lagolago mo le CMA (Contiguous Memory Alocator) polokalama fa'asoaga manatua, lea e fa'atatau mo le tu'ufa'atasia o vaega tetele e manatua ai le fa'aogaina o auala e fa'agasolo ai itulau manatua. Mo le RISC-V, o loʻo faʻatinoina foʻi meafaigaluega e faʻatapulaʻa ai le avanoa i / dev / mem ma faʻaalu le taimi faʻalavelave faʻalavelave.
    • Mo 32-bit ARM system, ua faʻaopoopoina le lagolago mo le KASan (Kernel address sanitizer) faʻapipiʻi meafaigaluega, lea e fesoasoani e iloa ai mea sese pe a galue ma manatua. Mo le 64-bit ARM, o le KASan faʻatinoga ua liua e faʻaoga MTE pine (MemTag).
    • Fa'aopoopo le epoll_pwait2() system call e fa'ataga ai taimi e fa'agata ai ma le sa'o o le nanosecond (epoll_wait call e fa'aogaina miliseconds).
    • Ua fa'aalia nei e le faiga faufale se mea sese pe a taumafai e fausia ni fa'aoga kernel e mafai ona utaina lea e le o fa'amalamalamaina ai le laisene code e fa'aaoga ai le MODULE_LICENSE() macro. Mai le taimi nei, o le faʻaaogaina o le EXPORT_SYMBOL () macro mo galuega faʻapitoa o le a mafua ai foʻi se mea sese.
    • Faʻaopoopo le lagolago mo le faʻatulagaina o mea GEM mai le manatua na faʻaaogaina mo le I / O, lea na mafai ai ona faʻavavevave galuega ma le framebuffer i luga o nisi fausaga.
    • Kconfig ua pa'u le lagolago mo Qt4 (a'o tumau le lagolago mo Qt5, GTK ma Ncurses).
  • Virtualization ma le Puipuiga
    • Lagolago mo se faiga tali vave ua faʻaopoopoina i le seccomp () system call, lea e mafai ai ona e vave iloa pe faʻatagaina pe faʻasaina se telefoni faʻapitoa e faʻavae i luga o le bitmap gaioiga faifaipea o loʻo faʻapipiʻi i le faagasologa, lea e le manaʻomia ai le tamoe. o se tagata e faʻaaogaina le BPF.
    • Vaega o fatu tu'ufa'atasia mo le fa'atupuina ma le fa'atonutonuina o fa'amaufa'ailoga e fa'atatau i le Intel SGX (Software Guard eXtensions) tekonolosi, lea e fa'ataga ai talosaga e fa'atino ai le fa'ailoga i vaega fa'amaufa'ailoga fa'ailoga o le manatua, lea e fa'atapula'aina ai le avanoa o le isi vaega.
    • I le avea ai o se vaega o se fuafuaga e faʻatapulaʻa le avanoa mai avanoa faʻaoga i le MSR (resitala faʻapitoa faʻataʻitaʻiga), tusi i le resitala MSR_IA32_ENERGY_PERF_BIAS, lea e mafai ai ona e suia le faiga o le malosi o le gaosiga ("masani", "faʻatinoga", "powersave") , e fa'asa.
    • O le mafai ona faʻamalo le femalagaiga o galuega maualuga-faʻamuamua i le va o PPU ua siitia mai le kernel-rt paranesi mo faiga faʻapitoa.
    • Mo faiga ARM64, ua fa'aopoopoina le tomai e fa'aoga ai fa'ailoga MTE (MemTag, Memory Tagging Extension) mo tuatusi fa'amanatu. O le fa'aogaina o le MTE e mafai e ala i le fa'amaotiina o le filifiliga SA_EXPOSE_TAGBITS i sigaction() ma fa'atagaina oe e siaki le fa'aoga sa'o o fa'ailoga e poloka ai le fa'aogaina o fa'aletonu e mafua mai i le fa'aogaina o poloka manatua ua uma ona fa'asa'oloto, fa'amama fa'amama, avanoa a'o le'i amataina, ma fa'aoga i fafo tulaga o iai nei.
    • Fa'aopoopoina le "DM_VERITY_VERIFY_ROOTHASH_SIG_SECONDARY_KEYRING" parakalafa, lea e mafai ai e le dm-verity subsystem e siaki saini hash o tusi faamaonia o lo'o tu'uina i le ki lona lua. I le faʻatinoga, o le seti e mafai ai ona e faʻamaonia e le gata o tusi faamaonia na fausia i totonu o le fatu, ae faʻapea foʻi tusi pasi faʻapipiʻiina i le taimi o le gaioiga, lea e mafai ai ona faʻafouina tusi faamaonia e aunoa ma le faʻafouina o le fatu atoa.
    • Fa'aoga-mode Linux ua fa'aopoopoina le lagolago mo le fa'agata-i-idle mode, lea e mafai ai ona e fa'aisa le si'osi'omaga ma fa'aoga le SIGUSR1 fa'ailoga e ala ai mai le moe.
    • O le virtio-mem mechanism, lea e mafai ai e oe ona faʻapipiʻi vevela ma motusia le manatua i masini komepiuta, ua faʻaopoopoina le lagolago mo le Big Block Mode (BBM), lea e mafai ai ona faʻafeiloaʻi pe ave le manatua i poloka tetele nai lo le tele o le fatu fatu. poloka, lea e manaʻomia e optimize VFIO i QEMU.
    • Lagolago mo le CHACHA20-POLY1305 cipher ua faʻaopoopoina ile faʻatinoga ole kernel ole TLS.
  • Su'ega feso'ota'iga
    • Mo le 802.1Q (VLAN), ua faʻatinoina le faʻaogaina o fesoʻotaʻiga fesoʻotaʻiga (CFM, Connectivity Fault Management), lea e mafai ai ona e iloa, faʻamaonia ma faʻamavae faʻaletonu i fesoʻotaʻiga ma alalaupapa faʻapitoa (Virtual Bridged Networks). Mo se faʻataʻitaʻiga, e mafai ona faʻaogaina le CFM e faʻamavae ai faʻafitauli i fesoʻotaʻiga o loʻo vaʻaia le tele o faʻalapotopotoga tutoʻatasi o latou tagata faigaluega e naʻo a latou lava meafaigaluega.
    • Faʻaopoopo le lagolago mo le faʻapipiʻiina o paʻu protocol SCTP i totonu o paʻu UDP (RFC 6951), lea e mafai ai ona e faʻaogaina le SCTP i luga o fesoʻotaʻiga ma faʻaliliu tuatusi tuai e le lagolagoina saʻo le SCTP, faʻapea foʻi ma le faʻaogaina o le SCTP i luga o faiga e le maua saʻo ai le IP. fa'avae.
    • O le faʻatinoga o tekinolosi WiMAX ua faʻagasolo i le faʻatulagaina ma o loʻo faʻatulagaina mo le aveeseina i le lumanaʻi pe a leai ni tagata faʻaoga e manaʻomia le WiMAX. O le WiMAX ua le toe fa'aogaina i feso'ota'iga lautele, ma i totonu o le fatu na'o le aveta'avale e mafai ona fa'aogaina ai le WiMAX o le aveta'avale Intel 2400m ua tuai. Na fa'amuta le lagolago a WiMAX i le NetworkManager network configurator i le 2015. I le taimi nei, WiMax ua toetoe a suia atoa e tekinolosi e pei o LTE, HSPA + ma Wi-Fi 802.11n.
    • Ua maeʻa galuega e faʻamalieina ai le faʻatinoga o le faʻaogaina o fefaʻatauaiga o TCP i le zerocopy mode, i.e. e aunoa ma se kopi fa'aopoopo i pa puipui fou. Mo feoaiga feololo, e aofia ai le sefulu po'o le selau kilobytes o fa'amaumauga, o le fa'aogaina o le zerocopy nai lo le recvmsg() e sili atu ona aoga. Mo se faʻataʻitaʻiga, o suiga faʻatinoina na mafai ai ona faʻateleina le lelei o le faʻaogaina o fefaʻatauaiga a le RPC ma le 32 KB feʻau pe a faʻaaogaina zerocopy e 60-70%.
    • Fa'aopoopo iioctl() vala'au e fai ai alalaupapa feso'ota'iga e tele so'otaga PPP. O le agava'a fa'ata'ita'i e mafai ai ona fealua'i fa'avaa mai le tasi alalaupapa i le isi, fa'ata'ita'iga mai le PPPoE i le PPPoL2TP vasega.
    • Faʻatasi i totonu ole MPTCP (MultiPath TCP), o se faʻaopoopoga o le TCP protocol mo le faʻatulagaina o le faʻaogaina o se TCP fesoʻotaʻiga ma le tuʻuina atu o pusa i le taimi e tasi i luga o le tele o auala e ala i fesoʻotaʻiga fesoʻotaʻiga eseese e fesoʻotaʻi ma tuatusi IP eseese. O le faʻasalalauga fou e faʻaalia ai le lagolago mo le filifiliga ADD_ADDR e faʻasalalau ai tuatusi IP avanoa e mafai ona faʻafesoʻotaʻi pe a faʻaopoopoina ni tafega fou i se fesoʻotaʻiga MPTCP.
    • Fa'aopoopoina le tomai e fa'atulaga ai gaioiga pe a sili atu le paketi palota feso'ota'iga (busy-polling). O le faiga SO_BUSY_POLL sa maua muamua o lona uiga o le sui i le softirq pe a uma le paketi. Mo talosaga e mana'omia le fa'aauauina o le fa'aogaina o faiga palota, o se filifiliga fou SO_PREFER_BUSY_POLL ua fa'ailoa mai.
    • IPv6 faʻaaogaina le lagolago mo SRv6 End.DT4 ma End.DT6 modes, faʻaaogaina e fatuina ai le tele o tagata faʻaoga IPv4 L3 VPNs ma VRF (Virtual routing and forwarding) masini.
    • Netfilter faʻatasi le faʻatinoga o faʻamatalaga seti, lea na mafai ai ona faʻamaonia le tele o faʻamatalaga mo elemene taʻitasi o lisi seti.
    • APIs ua fa'aopoopoina i le 802.11 wireless stack e fa'atulaga ai tapula'a malosiaga SAR, fa'apea fo'i ma fa'amaufa'ailoga AE PWE ma HE MCS. Ua faaopoopo e le avetaavale Intel iwlwifi le lagolago mo le 6GHz (Ultra High Band) laina. O le Qualcomm Ath11k avetaʻavale ua faʻaopoopoina le lagolago mo FILS (Fast Initial Link Setup, faʻataʻatia e pei o le IEEE 802.11ai) tekinolosi, lea e mafai ai ona e faʻaumatia le faʻatuai o feoaiga i le taimi o femalagaiga mai le tasi nofoaga avanoa i le isi.
  • Meafaigaluega
    • O le avetaʻavale amdgpu e tuʻuina atu le lagolago mo le AMD "Green Sardine" APU (Ryzen 5000) ma le "Dimgrey Cavefish" GPU (Navi 2), faʻapea foʻi ma le lagolago muamua mo le AMD Van Gogh APU ma le Zen 2 core ma le RDNA 2 GPU (Navi 2). Faʻaopoopo le lagolago mo faʻamatalaga fou Renoir APU (faʻavae ile Zen 2 CPU ma Vega GPU).
    • O le avetaavale i915 mo kata vitio Intel lagolago IS (Integer scaling) tekinolosi ma le faʻatinoina o se faamama mo le faʻateleina o le fua e amanaʻia ai le tulaga o pika tuaoi (Valatalata- tuaoi interpolation) e fuafua ai le lanu o pixels misi. Lagolago mo Intel DG1 kata tu'ufa'atasi ua fa'alauteleina. Lagolago mo le "Big Joiner" tekinolosi ua faʻatinoina, lea na i ai talu mai le Ice Lake / Gen11 meataalo ma faʻatagaina le faʻaogaina o le transcoder e tasi e faʻagasolo ai vaitafe e lua, mo se faʻataʻitaʻiga, mo le gaosiga i le 8K mata e ala i le tasi DisplayPort. Fa'aopoopoina se faiga mo le fesuia'i fa'atasi i le va o pa'u e lua i le manatua o vitio (async flip).
    • Ua faaopoopo e le avetaavale fou le lagolago muamua mo NVIDIA GPU e faavae i le Ampere microarchitecture (GA100, GeForce RTX 30xx), e gata i meafaigaluega mo le puleaina o ata vitio.
    • Faʻaopoopo le lagolago mo le 3WIRE protocol faʻaaogaina i LCD panels. Faʻaopoopo lagolago mo novatek nt36672a, TDO tl070wsh30, Innolux N125HCE-GN1 ma ABT Y030XX067A 3.0 panels. E ese mai, e mafai ona tatou matauina le lagolago mo le laulau o OnePlus 6 ma 6T smartphones, lea na mafai ai ona faʻatulagaina le utaina o se fatu e leʻi faʻaleleia i masini.
    • Faʻaopoopo le lagolago mo le faʻatonuina muamua a le Intel USB4 host controller, Maple Ridge.
    • Faaopoopo lagolago mo Allwinner H6 I2S, Analog Devices ADAU1372, Intel Alderlake-S, GMediatek MT8192, NXP i.MX HDMI ma XCVR, Realtek RT715 ma Qualcomm SM8250 codecs leo.
    • Faʻaopoopo lagolago mo laupapa ARM, masini ma faʻavae: Galaxy Note 10.1, Microsoft Lumia 950 XL, NanoPi R1, FriendlyArm ZeroPi, Elimo Initium SBC, Broadcom BCM4908, Mediatek MT8192/MT6779/MT8167, MStar Infinity2M, Nuvoton, Marvel 730M, Nuvoton NPCM382 ​Mikrotik faʻavae i luga o Marvell Prestera 98DX3236, 'auʻaunaga ma Nuvoton NPCM750 BMC, Kontron i.MX8M Mini, Espressobin Ultra, "Trogdor" Chromebook, Kobol Helios64, Engicam PX30.Core.
    • Lagolago faʻapipiʻi mo le faʻamafanafanaga taʻaloga Ouya e faʻavae ile NVIDIA Tegra 3.

I le taimi lava e tasi, na fausia ai e le Latin American Free Software Foundation se kopi o le 5.11 kernel e leai se totogi - Linux-libre 5.11-gnu, faʻamalo mai elemene o firmware ma avetaʻavale o loʻo i ai vaega e le saʻoloto poʻo vaega code, o lona lautele e faʻatapulaʻaina. e le gaosi oloa. O le faʻasalalauga fou e faʻamamā ai avetaavale mo qat_4xxx (crypto), lt9611uxcm (dsi / hdmi alalaupapa), ccs / smia++ (sensor), ath11k_pci, nxp audio transceiver ma mhi pci controller. Fa'afou le tulafono fa'amama pa'u i ta'avale ma subsystems amdgpu, btqca, btrtl, btusb, i915 csr. Ua le atoatoa le malosi fou i le m3 rproc, idt82p33 ptp uati ma le qualcomm arm64.

puna: opennet.ru

Faaopoopo i ai se faamatalaga