Linux 5.15 faʻamalolo kernel

Ina ua maeʻa le lua masina o atinaʻe, na tuʻuina atu ai e Linus Torvalds le faʻamalolo o le Linux kernel 5.15. O suiga iloga e aofia ai: aveta'avale fou NTFS ma le lagolago tusitusi, ksmbd module ma le SMB server fa'atinoga, DAMON subsystem mo le mata'ituina o le manatua, taimi moni loka primitives, fs-verity lagolago i Btrfs, process_mrelease system call mo le matelaina tali faiga manatua, module tusi faamaonia mamao dm-ima.

O le lomiga fou e aofia ai 13499 faʻaleleia mai le 1888 developers, o le patch tele o le 42 MB (o suiga na aʻafia ai 10895 faila, 632522 laina o code na faʻaopoopoina, 299966 laina na tapeina). E tusa ma le 45% o suiga uma na faʻaalia i le 5.15 e fesoʻotaʻi ma taʻavale masini, e tusa ma le 14% o suiga e fesoʻotaʻi ma le faʻafouina o tulafono faʻapitoa i fausaga faʻapitoa, 14% e fesoʻotaʻi ma le upega tafailagi, 6% e fesoʻotaʻi ma faila faila, ma 3% e feso'ota'i i totonu ole kernel subsystems.

Autu fa'afouga:

  • Disk subsystem, I/O ma faila faiga
    • O le fatu ua faʻaaogaina se faʻatinoga fou o le faila faila NTFS, tatalaina e Paragon Software. E mafai e le avetaavale fou ona galue i le faiga tusitusi ma lagolagoina uma foliga o le lomiga o loʻo i ai nei o le NTFS 3.1, e aofia ai uiga faʻalauteleina o faila, lisi avanoa (ACLs), faʻaogaina faʻamaumauga, galuega lelei ma avanoa avanoa i faila (sparse) ma toe taʻalo suiga mai. o le ogalaau e toe faʻafoʻisia ai le amio saʻo pe a maeʻa faʻaletonu .
    • E lagolagoina e le faila faila a le Btrfs le fs-verity mechanism, lea e faʻaaogaina e pulea manino ai le faʻamaoni ma le faʻamaoni o faila taʻitasi e faʻaogaina ai le cryptographic hashes poʻo ki e fesoʻotaʻi ma faila, o loʻo teuina i totonu o le metadata area. I le taimi muamua, fs-verity na o avanoa mo Ext4 ma F2fs faila faila.

      E fa'aopoopoina fo'i e Btrfs le lagolago mo le fa'afanua o ID fa'aoga mo fa'apipi'i faila faila (sa lagolagoina muamua mo FAT, ext4 ma XFS faila faila). O lenei vaega e mafai ai ona e faʻatusatusa faila a se tagata faʻapitoa i luga o se vaeluaga mai fafo faʻapipiʻi ma se isi tagata faʻaoga i luga o le faiga o loʻo iai nei.

      O isi suiga i Btrfs e aofia ai: faʻaopoopo vave o ki i le faʻasino igoa e faʻaleleia ai le faʻatinoina o faila; le mafai ona galue raid0 i le masini e tasi, ma le raid10 ma le lua (mo se faʻataʻitaʻiga, i le faagasologa o le toe faʻatulagaina o le laina); filifiliga "lavea'i=ibadroots" e le amana'ia ai se la'au le sa'o; faʻavaveina o le "auina atu" le gaioiga; faʻaitiitia o feteʻenaʻiga loka i le taimi o le toe faʻaigoaina o galuega; le mafai ona faʻaogaina vaega 4K i luga o faiga faʻatasi ma le 64K manatua itulau tele.

    • I le XFS, o le mafai ona faʻaogaina aso pe a uma le 2038 i le faila faila ua faʻamautuina. Fa'atino se faiga mo le tuai o le inode deactivation ma le lagolago mo le tuai fa'apipi'i ma aveese uiga faila. Ina ia faʻaumatia faʻafitauli, o le mafai ona faʻamalo quotas tisiki mo vaeluaga ua uma ona faʻapipiʻiina ua aveese (e mafai ona e faʻamalo faʻamalosi quotas, ae o le faʻatusatusaga e fesoʻotaʻi ma i latou o le a faʻaauau, o lea e manaʻomia ai le toe faʻaleleia e faʻamalo atoatoa ai).
    • I le EXT4, ua maeʻa galuega e faʻateleina ai le faʻatinoina o le tusiaina o le delalloc buffers ma le faʻaogaina o faila matuaoti o loʻo faʻaauau pea ona o le mea moni o loʻo tatala pea, ae le o fesoʻotaʻi ma se lisi. O le fa'agaioiina o galuega lafoa'i ua ave'esea mai le jbd2 kthread thread e 'alofia ai le polokaina o gaioiga i metadata.
    • F2FS fa'aopoopo le "discard_unit=block|segment|segment" filifiliga e fusifusia ai le fa'agaoioiga lafoai (fa'ailoga poloka fa'asa'oloto e ono le toe teuina fa'aletino) i le fa'aogaina e fa'atatau i se poloka, vaega, vaega po'o se vaega. Fa'aopoopo le lagolago mo le siakiina o suiga ile I/O latency.
    • O le EROFS (Extendable Read-Only File System) e fa'aopoopoina le lagolago sa'o I/O mo faila fa'asaoina e aunoa ma le fa'amalosi, fa'apea fo'i ma le lagolago a le fiemap.
    • O lo'o fa'atinoina e OverlayFS le fa'aogaina sa'o o fu'a fa'amau "e le masuia", "fa'aopoopo-na'o", "sync" ma le "noatime".
    • Ua fa'aleleia e le NFS le fa'afoeina o tulaga e le toe tali atu ai le server NFS i talosaga. Faʻaopoopo le mafai ona faʻapipiʻi mai se 'auʻaunaga ua uma ona faʻaaogaina, ae mafai ona maua e ala i se isi tuatusi fesoʻotaʻiga.
    • Ua amata tapenaga mo le toe tusia o le FSCACHE subsystem.
    • Fa'aopoopoina le lagolago mo vaeluaga o le EFI fa'atasi ai ma le fa'atulagaina o laulau GPT.
    • O le masini fanotify e fa'atino ai se fu'a fou, FAN_REPORT_PIDFD, lea e mafua ai ona fa'aofi le pidfd i le metadata ua toe fa'afo'i. E fesoasoani le Pidfd i le taulimaina o le PID toe faʻaaogaina tulaga e faʻamaonia saʻo ai le faʻaogaina o faila mataʻituina (o se pidfd e fesoʻotaʻi ma se faiga faʻapitoa ma e le suia, ae o le PID e mafai ona fesoʻotaʻi ma se isi gaioiga pe a maeʻa le faʻagasologa o loʻo fesoʻotaʻi ma lena PID e muta).
    • Faʻaopoopo le gafatia e faʻaopoopo ai faʻamaufaʻailoga i vaega fefaʻasoaaʻi o loʻo i ai i le move_mount() system call, lea e foia ai faʻafitauli i le faʻasaoina ma le toe faʻaleleia o le tulaga i le CRIU pe a tele avanoa faʻapipiʻi faʻasoa i totonu o koneteina tuʻufua.
    • Fa'aopoopo le puipuiga mai tu'uga natia e mafai ona fa'atupu ai le pi'opi'o o faila pe a fa'atino le fa'asologa o le cache a'o fa'agaogaoina gaogao i totonu o se faila.
    • Lagolago mo le fa'atulafonoina (fa'atonu) loka faila, fa'atinoina e ala i le polokaina o telefoni fa'aoga e o'o atu ai i se suiga faila, ua fa'agata. Ona o tulaga o tuuga, o nei loka na manatu e le talitonuina ma ua le toe faaaogaina i le tele o tausaga ua mavae.
    • O le LightNVM subsystem ua aveese, lea na mafai ai ona maua saʻo i le SSD drive, faʻafefeina le faʻataʻitaʻiga. O le LightNVM na leiloa lona uiga ina ua maeʻa le oʻo mai o tulaga NVMe e tuʻuina atu mo le faʻavasegaina (ZNS, Zoned Namespace).
  • Auaunaga manatua ma faiga
    • O le DAMON (Data Access MONitor) subsystem ua faʻatinoina, faʻatagaina oe e mataʻituina le gaioiga e fesoʻotaʻi ma le mauaina o faʻamatalaga i le RAM e faʻatatau i se faʻagasologa filifilia o loʻo faʻagasolo i avanoa avanoa. Ole subsystem e mafai ai ona e su'esu'e po'o fea vaega e manatua ai le fa'agaioiga na maua i le taimi atoa o lona fa'agaioiga, ma po'o fea vaega manatua e le'i ta'ua. O le DAMON o loʻo faʻaalia ai le maualalo o le CPU, faʻaitiitia le faʻaogaina o le mafaufau, maualuga le saʻo ma le vaʻaia i luga ole ulu, tutoatasi mai le tele. O le subsystem e mafai ona faʻaogaina uma e le fatu e faʻamalieina ai le puleaina o mafaufauga, ma faʻaoga i avanoa e faʻaoga e malamalama ai poʻo le a tonu le gaioiga o loʻo faia ma faʻamalieina le faʻaogaina o mafaufauga, mo se faʻataʻitaʻiga, faʻasaʻoloto le tele o manatuaga mo le faiga.
    • O le process_mrelease system call ua faʻatinoina e faʻavave ai le faagasologa o le tuʻuina atu o le manatua o se gaioiga e faʻamaeʻa ai lona faʻatinoga. I lalo o tulaga masani, o le tuʻuina atu o punaoa ma le faʻamutaina o gaioiga e le faʻafuaseʻi ma e ono tuai ona o mafuaʻaga eseese, faʻalavelave i le faʻaogaina o avanoa e manatua ai le vave tali atu e pei ole oomd (faʻapipiʻiina e le systemd) ma le lmkd (faʻaaogaina e le Android). E ala i le vala'au process_mrelease, o ia faiga e mafai ona sili atu ona vavalo fa'aosoina le toe maua mai o le manatua mai faiga fa'amalosi.
    • Mai le PREEMPT_RT kernel paranesi, lea e atiina ae le lagolago mo le taimi-taimi faagaioiga, fesuiaiga o primitives mo le faatulagaina loka mutex, ww_mutex, rw_semaphore, spinlock ma rwlock, e faavae i luga o le RT-Mutex subsystem, ua fesiitai. O suiga ua fa'aopoopo i le SLUB slab allocator e fa'aleleia ai le fa'agaioiga i le PREEMPT_RT mode ma fa'aitiitia le a'afiaga i fa'alavelave.
    • Lagolago mo le SCHED_IDLE galuega fa'atulagaina uiga ua fa'aopoopo i le cgroup, e mafai ai ona e tu'uina atu lenei uiga i faiga uma o se vaega o lo'o aofia i totonu o se vaega fa'apitoa. O na. o nei fa'agasologa o le a na'o le taimi e leai ni isi galuega o lo'o fa'atali e fa'atino i luga ole faiga. E le pei o le setiina o le uiga SCHED_IDLE i faiga ta'ito'atasi, pe a fusifusia SCHED_IDLE i se vaega, o le mamafa fa'atatau o galuega i totonu o le kulupu e fa'atatauina pe a filifilia se galuega e fa'atino.
    • Ua fa'alauteleina le fa'aogaina o le fa'amaumauga mo le taumafaina manatua i le cgroup ma le agava'a e siaki ai fa'aopoopo fa'amaumauga o fatuga, e aofia ai mea na faia mo palota, fa'ailoga fa'ailoga ma igoa avanoa.
    • Faʻaopoopo le lagolago mo le faʻatulagaina o galuega faʻapipiʻi i totonu o le gaosiga i luga o fausaga e faʻatagaina ai e nisi PPU le faʻatinoina o galuega 32-bit, ma o nisi e faʻaogaina i le 64-bit mode (mo se faʻataʻitaʻiga, ARM). O le faiga fou e mafai ai ona e mafaufau i na o PPU e lagolagoina galuega 32-bit pe a faʻatulagaina galuega 32-bit.
    • O le io_uring asynchronous I/O interface o lo'o lagolagoina nei le tatalaina sa'o o faila i le laulau fa'amaufa'ailoga faila, e aunoa ma le fa'aogaina o se faila faila, lea e mafai ai ona fa'avavevave tele nisi ituaiga o gaioiga, ae e fa'afeagai ma le faiga masani a Unix o le fa'aaogaina o faila faila. e tatala faila.

      io_uring mo le BIO (Block I/O Layer) subsystem o lo'o fa'atinoina se faiga fou toe fa'aaogaina ("BIO recycling"), lea e fa'aitiitia ai le fa'auluuluga i le faagasologa o le puleaina o mafaufauga i totonu ma fa'ateleina le numera o gaioiga I/O fa'agasolo ile sekone e tusa ma le 10% . io_uring fa'aopoopoina fo'i le lagolago mo le mkdirat(), symlinkat() ma le linkat() system calls.

    • Mo polokalame a le BPF, ua fa'atinoina le tomai e talosaga ma fa'agasolo ai taimi. Ua fa'aopoopoina se su'esu'ega mo sockets UNIX, ma ua fa'atinoina le mafai ona maua ma fa'atulaga socket filifiliga mo setsockopt. Ua lagolagoina nei e le BTF dumper fa'amaumauga.
    • I luga ole faiga ole NUMA e iai ituaiga manatua eseese e ese le faatinoga, pe a uma le avanoa avanoa, e ave'ese itulau manatua e ave'esea mai le dynamic memory (DRAM) ile fa'agesegese ole manatua tumau (Persistent Memory) nai lo le tapeina o itulau nei. O su'ega na fa'aalia ai o ia togafiti e masani ona fa'aleleia ai le fa'atinoga o ia faiga. E maua ai fo'i e le NUMA le agava'a e fa'asoa ai itulau manatua mo se fa'agasologa mai se seti o nodes NUMA ua filifilia.
    • Mo le fa'ata'ita'iga a le ARC, ua fa'atinoina le lagolago mo laulau itulau manatua e tolu ma le fa, lea e mafai ai ona fa'aopoopo le lagolago mo 64-bit ARC processors.
    • Mo le fausaga o le s390, o le mafai ona faʻaogaina le KFENCE masini e iloa ai mea sese pe a galue ma manatua ua faʻatinoina, ma ua faʻaopoopoina le lagolago mo le KCSAN race condition detector.
    • Fa'aopoopoina le lagolago mo le fa'avasegaina o le lisi o fe'au o lo'o fa'atinoina e ala i le printk(), fa'atagaina oe e toe aumai uma ia fe'au i le taimi e tasi ma siaki suiga i avanoa fa'aoga.
    • mmap() ua aveese le lagolago mo le filifiliga VM_DENYWRITE, ma ua aveesea le kernel code mai le faaaogaina o le MAP_DENYWRITE mode, lea ua faaitiitia ai le numera o tulaga e taitai atu ai i le polokaina o tusitusiga i se faila ma le ETXTBSY sese.
    • O se ituaiga siaki fou, "Event probes," ua faʻaopoopoina i le suʻega subsystem, lea e mafai ona faʻapipiʻi i mea o loʻo i ai suʻesuʻega, faʻamalamalamaina lau lava faʻasologa o galuega.
    • Pe a fausia le fatu e faʻaaoga ai le Clang compiler, o le faʻapipiʻi faʻapitoa mai le poloketi LLVM ua faʻaaogaina nei.
    • I le avea ai o se vaega o se poloketi e aveese ai le fatu o le code lea e tau atu i lapataiga o loʻo tuʻuina atu e le tagata tuʻufaʻatasia, na faia ai se faʻataʻitaʻiga ma le "-Werror" faʻaogaina e ala i le le mafai, lea e faʻagasolo ai lapataiga faʻapipiʻi o ni mea sese. I sauniuniga mo le tatalaina o le 5.15, na amata ona talia e Linus na o suiga e leʻi iʻu ai i lapataiga pe a fausia le fatu ma mafai ai ona fausia ma le "-Werror", ae na ioeina o sea faaiuga na vave ma tuai e mafai ai "-Werror" ona o le faaletonu. . O le faʻaofiina o le fuʻa "-Werror" i le taimi o le faʻapotopotoga e pulea e faʻaaoga ai le WERROR parameter, lea e seti i le COMPILE_TEST e ala i le faaletonu, i.e. Mo le taimi nei ua na'o le fa'aogaina o su'ega.
  • Virtualization ma le Puipuiga
    • Ua faʻaopoopoina se tagata fou dm-ima i le Device Mapper (DM) faʻatasi ai ma le faʻatinoina o se masini faʻamaonia mamao e faʻavae i luga o le IMA (Integrity Measurement Architecture) subsystem, lea e faʻatagaina ai se auaunaga i fafo e faʻamaonia le tulaga o kernel subsystems e faʻamautinoa ai lo latou moni. . I le faʻataʻitaʻiga, dm-ima faʻatagaina oe e fatuina ni mea e teu ai e faʻaaoga ai le Device Mapper e fesoʻotaʻi ma faʻaoga ao fafo, lea e siaki ai le faʻamaonia o le faʻatulagaina o le DM faʻalauiloa e faʻaaoga ai le IMA.
    • prctl() fa'atino se filifiliga fou PR_SPEC_L1D_FLUSH, lea pe a fa'agaoioia, e fa'aoso ai le fatu e fa'amalo mea o lo'o i totonu o le tulaga muamua (L1D) cache i taimi uma e tupu ai se suiga fa'amatalaga. O lenei faiga e mafai ai, filifilia mo faiga sili ona taua, e faʻatino ai le puipuiga faaopoopo e faasaga i le faʻaogaina o osofaʻiga o le itu-auala na faia e fuafua ai faʻamaumauga na faʻamautu i totonu o le cache ona o faʻafitauli e mafua mai i le faʻataunuʻuina o faʻatonuga i le PPU. O le tau o le fa'aagaaga o le PR_SPEC_L1D_FLUSH (e le mafai ona fa'aletonu) o se fa'asalaga taua tele.
    • E mafai ona fausia le fatu ma le faʻaopoopoga o le "-fzero-call-used-regs=used-gpr" fuʻa i le GCC, lea e faʻamautinoa ai o loʻo toe setiina uma tusi resitala i le zero aʻo leʻi toe faʻafoʻi le pule mai le galuega. O lenei filifiliga e mafai ai e oe ona puipuia mai faʻamatalaga leak mai galuega ma faʻaititia i le 20% le numera o poloka talafeagai mo le fausiaina o ROP (Return-Oriented Programming) gadgets i faʻaoga.
    • O le gafatia e fausia ai fatu mo le fausaga o le ARM64 i foliga o tagata faʻatau mo le Hyper-V hypervisor ua faʻatinoina.
    • O loʻo faʻatulagaina se taʻiala fou o le atinaʻeina o avetaavale "VDUSE", lea e mafai ai ona faʻaogaina masini poloka poloka i avanoa faʻaoga ma faʻaaogaina Virtio e avea o se felauaiga mo avanoa mai faiga faʻapitoa.
    • Faʻaopoopo le avetaʻavale Virtio mo le pasi I2C, e mafai ai ona faʻataʻitaʻiina le I2C controllers i le paravirtualization mode e faʻaaoga ai pito i tua.
    • Faʻaopoopo Virtio avetaʻavale gpio-virtio e faʻataga ai malo e faʻaoga laina GPIO na tuʻuina atu e le polokalama talimalo.
    • Faʻaopoopo le mafai e faʻatapulaʻaina le avanoa i itulau manatua mo avetaavale masini ma le DMA lagolago i luga o faiga e aunoa ma se I/O MMU (iunite e pulea le manatua).
    • O le KVM hypervisor o loʻo i ai le malosi e faʻaalia ai fuainumera i foliga o laina laina ma logarithmic histograms.
  • Su'ega feso'ota'iga
    • O le ksmbd module ua faʻaopoopoina i le fatu ma le faʻatinoina o se faila faila e faʻaaoga ai le SMB3 protocol. O le module e faʻamalieina le SMB client faʻatinoina na maua muamua i totonu o le fatu ma, e le pei o le SMB server o loʻo faʻaogaina i avanoa faʻaoga, e sili atu le lelei i tulaga o le faʻatinoga, faʻaogaina o mafaufauga ma le tuʻufaʻatasia ma le gafatia o le kernel maualuga. Ksmbd o loʻo faʻaalia o se faʻaopoopoga maualuga, faʻapipiʻiina-sauni Samba faʻapipiʻi faʻatasi ma meafaigaluega Samba ma faletusi pe a manaʻomia. O agava'a a le ksmbd e aofia ai le fa'aleleia atili o le lagolago mo le tufatufaina atu o faila faila (SMB lisi) i luga o faiga fa'apitonu'u, lea e mafai ona fa'aitiitia ai feoaiga. I le lumanaʻi, latou te fuafua e faʻaopoopo le lagolago mo le RDMA ("smbdirect") ma faʻaopoopoga faʻasalalauga e fesoʻotaʻi ma le faʻateleina o le faʻamaoni o faʻamatalaga ma faʻamaoniga e faʻaaoga ai saini numera.
    • E le o toe lagolagoina e le tagata o tausia le CIFS le NTLM ma le fa'aletonu ole DES fa'avae fa'amaonia algorithms o lo'o fa'aogaina ile SMB1 protocol.
    • Multicast lagolago o loʻo faʻatinoina i le faʻatinoina o alalaupapa fesoʻotaʻiga mo vlans.
    • O le avetaavale faʻapipiʻi, faʻaaogaina e faʻapipiʻi fesoʻotaʻiga fesoʻotaʻiga, ua faʻaopoopoina le lagolago mo le XDP (eXpress Data Path) subsystem, lea e mafai ai ona e faʻaogaina pusa fesoʻotaʻiga i le tulaga aʻo leʻi faʻaogaina e le Linux kernel network stack.
    • O le mac80211 wireless stack e lagolagoina le 6GHZ STA (Fa'atagaga Le tumau) i LPI, SP ma VLP modes, faʻapea foʻi ma le mafai ona seti TWT taʻitoʻatasi (Target Wake Time) i le auala avanoa.
    • Faʻaopoopo le lagolago mo le MCTP (Management Component Transport Protocol), faʻaaogaina mo fegalegaleaiga i le va o pulega faʻatonutonu ma masini fesoʻotaʻi (host processors, peripheral device, etc.).
    • Faʻatasi i totonu ole MPTCP (MultiPath TCP), o se faʻaopoopoga o le TCP protocol mo le faʻatulagaina o le faʻaogaina o se TCP fesoʻotaʻiga ma le tuʻuina atu o pusa i le taimi e tasi i luga o le tele o auala e ala i fesoʻotaʻiga fesoʻotaʻiga eseese e fesoʻotaʻi ma tuatusi IP eseese. O le fa'asalalauga fou e fa'aopoopoina ai le lagolago mo tuatusi ile faiga atoa.
    • Ua fa'aopoopoina i le netfilter le au fa'afoe mo vaitafe feso'ota'iga o lo'o fa'apipi'iina i le SRv6 (Segment Routing IPv6).
    • Fa'aopoopoina sockmap lagolago mo sockets streaming Unix.
  • Meafaigaluega
    • O le avetaʻavale amdgpu e lagolagoina Cyan Skillfish APUs (faʻapipiʻiina ma Navi 1x GPU). Le Yellow Carp APU ua lagolagoina nei codecs vitio. Faʻaleleia le lagolago a Aldebaran GPU. Faʻaopoopo faʻamatalaga faʻafanua fou e faʻavae ile GPU Navi 24 "Beige Goby" ma le RDNA2. O lo'o fa'atonuina le fa'aleleia atili o le fa'atinoga o mata'itusi (VKMS). Lagolago mo le mataʻituina o le vevela o AMD Zen 3 meataalo ua faʻatinoina.
    • O le avetaʻavale amdkfd (mo GPU tuʻufaʻatasia, e pei o Polaris) e faʻaaogaina se pule faʻapitoa faʻapitoa (SVM, memory virtual memory) faʻavae i luga o le HMM (Heterogeneous memory management) subsystem, lea e faʻatagaina ai le faʻaogaina o masini ma a latou lava iunite faʻamanatuga (MMU). , vaega o le pulega o manatua), lea e mafai ona maua le manatua autu. Aemaise lava, i le faʻaaogaina o le HMM, e mafai ona e faʻatulagaina se avanoa faʻasoa avanoa i le va o le GPU ma le PPU, lea e mafai ai e le GPU ona maua le manatua autu o le faagasologa.
    • O le avetaavale i915 mo kata vitio Intel faʻalauteleina le faʻaogaina o le TTM video memory manager ma e aofia ai le mafai ona pulea le faʻaogaina o le eletise e faʻavae i luga ole GuC (Graphics micro Controller). Ua amata tapenaga mo le faʻatinoina o le lagolago mo le Intel ARC Alchemist graphics card ma le Intel Xe-HP GPU.
    • O le aveta'avale fou e fa'atino le fa'atonutonuina o le malamalama i tua mo panels eDP e fa'aaoga ai le DPCD (DisplayPort Configuration Data).
    • Faʻaopoopo le lagolago mo Adreno 7c Gen 3 ma Adreno 680 GPU i le avetaʻavale msm.
    • O le avetaavale IOMMU o loʻo faʻatinoina mo le Apple M1 chip.
    • Faʻaopoopo le avetaavale leo mo faiga faʻavae i AMD Van Gogh APUs.
    • O le avetaʻavale Realtek R8188EU ua faʻaopoopoina i le lala faʻatulagaina, lea na suia ai le tuai o le avetaʻavale (rtl8188eu) mo le Realtek RTL8188EU 802.11 b / g / n kiliva uaealesi.
    • O le avetaavale ocp_pt o loʻo aofia ai mo le PCIe laupapa na fausia e Meta (Facebook) faʻatasi ai ma le faʻatinoina o se uati atomic miniature ma se GNSS receiver, lea e mafai ona faʻaaogaina e faʻatulagaina ai le faʻaogaina o faʻaumau taimi faʻatasi.
    • Faʻaopoopo le lagolago mo Sony Xperia 10II (Snapdragon 665), Xiaomi Redmi 2 (Snapdragon MSM8916), Samsung Galaxy S3 (Snapdragon MSM8226), Samsung Gavini / Codina / Kyle smartphones.
    • Faʻaopoopo le lagolago mo ARM SoС ma NVIDIA Jetson TX2 NX Developer Kit, Sancloud BBE Lite, PicoITX, DRC02, SolidRun SolidSense, SKOV i.MX6, Nitrogen8, Traverse Ten64, GW7902, Microchip SAMA7, ualcomm Snapdragon SDM636/SM8150, H3seass. laupapa -2G/M3e-2G, Marvell CN913x, ASpeed ​​​​AST2600 (Facebook Cloudripper, Elbert ma Fuji server laupapa), 4KOpen STiH418-b2264.
    • Faʻaopoopoina le lagolago mo Gopher 2b LCD panels, EDT ETM0350G0DH6/ETMV570G2DHU, LOGIC Technologies LTTD800480070-L6WH-RT, Multi-Innotechnology MI1010AIT-1CP1, Innolux EJ030NA 3.0, Vilitek 9341, Vilitek 3300, ILITEK33. , Samsung DB20, WideChips WS7430.
    • Fa'aopoopo le aveta'avale LiteETH fa'atasi ma le lagolago mo fa'atonu Ethernet fa'aaogaina i le LiteX software SoCs (mo FPGA).
    • Ua fa'aopoopoina se filifiliga maualalo i le aveta'avale usb-leo e pulea ai le fa'aofiina o le fa'agaioiga i le tulaga la'ititi laititi. Fa'aopoopo fo'i le filifiliga quirk_flags e pasi ai fa'atonuga fa'apitoa i masini.

I le taimi lava e tasi, na fausia ai e le Latin American Free Software Foundation se kopi o le kernel free 5.15 - Linux-libre 5.15-gnu, faʻamalo mai elemene o firmware ma avetaʻavale o loʻo i ai vaega e le saʻoloto poʻo vaega code, o le lautele e faʻatapulaʻaina. e le gaosi oloa. O le fa'asalalauga fou e fa'atino ai le fa'aulufalega o se fe'au i le ogalaau e uiga i le mae'a o le fa'amamāina. Faʻafitauli i le faʻatupuina o afifi e faʻaaoga ai le mkspec ua faʻamautuina, lagolago mo faʻapipiʻi afifi ua faʻaleleia. Aveese nisi o lapataiga faʻaalia pe a faʻagasolo le faila faila o le firmware.h. Fa'ataga le gaosiga o nisi ituaiga o lapataiga ("format-extra-args", faʻamatalaga, galuega e le faʻaaogaina ma fesuiaiga) pe a fausia i le "-Werror" mode. Faʻaopoopo le faʻamamaina o le avetaʻavale gehc-achc. Fa'afouina le fa'amamāina o le tulafono fa'amamā i ta'avale ma subsystems adreno, btusb, btintel, brcmfmac, aarch64 qcom. O le fa'amamaina o aveta'avale prism54 (ave'esea) ma le rtl8188eu (sui i le r8188eu) ua taofia.

puna: opennet.ru

Faaopoopo i ai se faamatalaga