Linux 5.18 faʻamalolo kernel

Ina ua maeʻa le lua masina o atinaʻe, na tuʻuina atu ai e Linus Torvalds le faʻamalolo o le Linux kernel 5.18. Faatasi ai ma suiga e sili ona iloga: na faia se faʻamamaina tele o galuega tuai, o le Reiserfs FS na faʻaalia ua le toe faʻaaogaina, faʻaogaina le faʻaogaina o mea e faʻaaogaina, lagolago mo le faʻaogaina o le Intel IBT faʻaogaina na faʻaopoopoina, o le faʻaogaina o le faʻaogaina o le faʻaogaina o auala na mafai pe a i le faʻaaogaina o le memcpy (), ua faʻaopoopoina se masini mo le siakiina o le fprobe function calls, Ua faʻaleleia le faʻatinoga o le faʻatulagaina o galuega i AMD Zen CPUs, o se avetaavale mo le puleaina o le Intel CPU functionality (SDS) ua aofia ai, o nisi o patches ua tuʻufaʻatasia. mo le toe faʻatulagaina o faila ulutala, ma le faʻaaogaina o le C11 ua faʻamaonia.

O le lomiga fou e aofia ai le 16206 faʻaleleia mai le 2127 developers (i le faʻasalalauga mulimuli sa i ai 14203 faʻaleleia mai le 1995 developers), o le patch tele o le 108 MB (o suiga na aʻafia ai 14235 faila, 1340982 laina o code na faʻaopoopoina, 593836 laina na tapeina). E tusa ma le 44% o suiga uma na faʻaofiina i le 5.18 e fesoʻotaʻi ma taʻavale masini, e tusa ma le 16% o suiga e fesoʻotaʻi ma le faʻafouina o tulafono faʻapitoa i fausaga faʻapitoa, 11% e fesoʻotaʻi ma le upega o fesoʻotaʻiga, 3% e fesoʻotaʻi ma faila faila, ma 3% e feso'ota'i i totonu ole kernel subsystems.

Fa'afouga autu ile kernel 5.18:

  • Disk subsystem, I/O ma faila faiga
    • O le Btrfs file system ua faʻaopoopoina le lagolago mo le tuʻuina atu o faʻamaumauga faʻapipiʻi pe a faʻatino galuega lafo ma talia. I le taimi muamua, pe a faʻaaogaina le auina atu / talia, o le itu e auina atu na faʻaumatia faʻamaumauga o loʻo teuina i le faʻapipiʻiina, ma o le itu na mauaina na toe faʻapipiʻiina aʻo leʻi tusia. I le 5.18 kernel, faʻaoga-avanoa talosaga e faʻaaoga ai le auina atu / talia telefoni na tuʻuina atu le malosi e faʻasalalau ai faʻamatalaga faʻapipiʻi e aunoa ma le toe faʻapipiʻiina. O le faʻatinoga o loʻo faʻatinoina faʻafetai i le faʻaogaina fou o le ioctl BTRFS_IOC_ENCODED_READ ma BTRFS_IOC_ENCODED_WRITE, lea e mafai ai ona e faitau saʻo ma tusi faʻamatalaga i le lautele.

      E le gata i lea, Btrfs faʻaleleia le fsync faʻatinoga. Faʻaopoopoina le mafai ona faʻateʻaina ma faʻatino reflink (cloning file metadata e ala i le fatuina o se fesoʻotaʻiga i faʻamatalaga o loʻo i ai e aunoa ma le kopiina moni) mo le teuina atoa, e le gata i luga ole mauga.

    • I le Direct I/O mode, e mafai ona maua faila fa'ailoga pe a fa'aogaina e le fscrypt fa'amatalaga fa'amaufa'ailoga, lea e fa'atino ai fa'ailoga ma fa'auiga e le ta'avale ta'avale nai lo le fatu. Fa'atasi ai ma fa'amaufa'ailoga masani o fatu, o le avanoa i faila fa'ailoga e fa'aaoga ai le Direct I/O e le mafai lava, talu ai o faila e mafai ona fa'aogaina e ala i le fa'aogaina o le masini fa'apipi'i i totonu o le fatu.
    • O le NFS server e aofia ai le lagolago mo le NFSv3 protocol e ala i le faaletonu, lea e le manaʻomia nei se faʻaogaina eseese ma e avanoa pe a faʻaogaina le NFS. O le NFSv3 o lo'o avea ma fa'amaumauga autu ma lagolagoina pea o le NFS, ma o le lagolago mo le NFSv2 e mafai ona fa'agata i le lumana'i. Ua matua fa'aleleia atili le lelei o le faitau tusi o fa'amaumauga.
    • O le ReiserFS file system ua le toe faʻaaogaina ma ua faʻamoemoe e aveese i le 2025. O le fa'ate'aina o le ReiserFS o le a fa'aitiitia ai le taumafaiga e mana'omia e fa'amautu ai suiga i le faiga o faila e feso'ota'i ma le lagolago mo le API fou mo le fa'apipi'iina, iomap, ma tomes.
    • Mo le faila faila F2FS, ua faʻatinoina le mafai ona faʻafanua ID faʻaoga o faila faila faʻapipiʻi, lea e faʻaaogaina e faʻatusatusa ai faila a se tagata faʻapitoa i luga o se vaeluaga mai fafo ma se isi tagata faʻaoga i le faiga o loʻo iai nei.
    • O le tulafono mo le faʻatulagaina o fuainumera i masini faʻapipiʻi faʻataʻitaʻiga ua toe faʻaleleia, lea na faʻaleleia atili ai le saʻo o le faʻamaumauga i tagata faʻatautaia e pei o le dm-crypt.
    • Ua lagolagoina nei e masini NVMe siaki siaki 64-bit mo le siakiina o le faamaoni.
    • Mo le faiga o faila exfat, ua tu'uina mai se filifiliga fou "keep_last_dots", lea e fa'agata ai le kilia o togitogi i le pito o le igoa faila (i Windows, togitogi i le pito o le igoa faila e aveese e ala i le le mafai).
    • EXT4 fa'aleleia le fa'atinoga o le faiga fa'avave_commit ma fa'ateleina le fa'asili. O le "mb_optimize_scan" mount option, lea e mafai ai ona faʻateleina le faʻatinoga i tulaga o le tele o faila faila vaevaega, ua faʻafetaui mo le galue ma faila ma le lautele.
    • Lagolago mo le tusia o vaitafe i totonu o le subsystem e lagolagoina poloka poloka ua taofia. O lenei vaega na faʻatulagaina mo SSDs, ae e leʻi faʻasalalau ma e leai ni masini o loʻo faʻaogaina e lagolagoina lenei faiga ma e foliga mai o le a faʻaalia i le lumanaʻi.
  • Auaunaga manatua ma faiga
    • O le tuʻufaʻatasia o se seti o patches ua amata, faʻataga e faʻaitiitia ai le taimi e toe faʻaleleia ai le fatu e ala i le toe faʻatulagaina o le faʻasologa o faila faila ma faʻaitiitia le numera o faʻalagolago i luga ole laiga. O le Kernel 5.18 e aofia ai patch e faʻamalieina ai le fausaga o faila faʻasologa o galuega (kernel/sched). Pe a faʻatusatusa i le faʻasalalauga talu ai, o le faʻaaogaina o le taimi ole CPU pe a faʻapipiʻi kernel / sched / code na faʻaititia e 61%, ma le taimi moni na faʻaititia i le 3.9% (mai le 2.95 i le 2.84 sec).
    • O le Kernel code ua faʻatagaina e faʻaaoga le C11 standard, lomia i le 2011. I le taimi muamua, o le code faaopoopo i le fatu e tatau ona tausisia le ANSI C (C89) faʻamatalaga, na fausia i tua i le 1989. I le 5.18 kernel build scripts, o le '—std=gnu89' filifiliga ua suia i le '—std=gnu11 -Wno-shift-negative-value'. O le avanoa e faʻaaogaina ai le C17 faʻataʻitaʻiga na mafaufauina, ae i lenei tulaga e tatau ona faʻateleina le faʻaititia o le lagolago lagolago a le GCC, aʻo le aofia ai o le C11 lagolago e fetaui ma manaʻoga o loʻo iai nei mo le GCC version (5.1).
    • Faʻaleleia le faʻatulagaina o galuega i luga ole AMD processors ma le Zen microarchitecture, lea e maua ai le tele o le Last Level Cache (LLC) mo pona taʻitasi ma ala faʻalotoifale. O le fa'afouga fou ua fa'ate'aina ai le fa'aletonu o le LLC i le va o nodes a le NUMA, lea na mafua ai ona fa'atupula'ia le fa'atinoga mo nisi ituaiga o galuega.
    • Meafaigaluega mo le suʻeina o talosaga i avanoa faʻaoga ua faʻalauteleina. O le kernel version fou e fa'aopoopoina ai le agava'a mo tagata fa'aoga faiga e fai ai mea e fai ma tusi ai fa'amaumauga i le trace buffer, lea e mafai ona va'aia e ala i fa'aoga masani e su'eina ai fatu e pei o le ftrace ma le perf. O mea e tutupu i su'esu'ega avanoa a le tagata e fa'aesea mai mea na tutupu i fatu. E mafai ona va'aia le tulaga o mea na tutupu e ala i le faila /sys/kernel/debug/tracing/user_events_status, ma le resitalaina o mea na tutupu ma fa'amaumauga e ala i le faila /sys/kernel/debug/tracing/user_events_data.
    • Faʻaopoopoina se masini mo le mataʻituina (suʻesuʻe) valaʻau galuega - fprobe. O le fprobe API e fa'avae i luga ole ftrace, ae fa'atapula'a ile mafai ona fa'apipi'i tagata e toe fo'i i tua e fa'atino ai mea e ulufale ai ma fa'agaoioi vaega e alu i fafo. E le pei o kprobes ma kretprobes, o le masini fou e mafai ai ona e faʻaogaina se tasi e faʻaaogaina mo le tele o galuega i le taimi e tasi.
    • Lagolago mo masini ARM tuai (ARMv4 ma ARMv5) e le o faʻapipiʻiina i se iunite faʻamanatu (MMU) ua faʻagata. Lagolago mo faiga ARMv7-M e aunoa ma le MMU o lo'o taofia.
    • Lagolago mo le RISC-pei o le NDS32 fausaga fa'aoga i Andes Technologies processors ua fa'agata. Na aveese le code ona o le le lava o le tausiga ma le leai o se manaʻoga mo le lagolago a le NDS32 i le fatu autu o Linux (o loʻo totoe tagata faʻaoga e faʻaogaina fatu faʻapitoa e fausia mai mea gaosi meafaigaluega).
    • Ona o le faaletonu, o le fausiaina o le fatu ma le lagolago mo le a.out executable file format ua le atoatoa mo le alpha ma le m68k architectures, lea e faʻaauau pea ona faʻaogaina lenei faatulagaga. E foliga mai o le lagolago mo le legacy a.out format o le a aveesea atoa mai le fatu i se taimi lata mai. Fuafuaga e aveese le a.out format ua talanoaina talu mai le 2019.
    • O le fausaga o le PA-RISC e maua ai se lagolago itiiti mo le vDSO (virtual dynamic shared objects), lea e maua ai se seti faʻatapulaʻa o telefoni feaveaʻi e maua i avanoa faʻaoga e aunoa ma le fesuiaʻiina o faʻamatalaga. O le lagolago a le vDSO na mafai ai ona faʻatinoina le gafatia e tamoʻe ma se faʻaputu e le mafai ona faʻatinoina.
    • Fa'aopoopoina le lagolago mo le masini Intel HFI (Hardware Feedback Interface), lea e mafai ai e meafaigaluega ona fa'asalalau fa'amatalaga i le fatu e uiga i le fa'atinoga o lo'o iai nei ma le malosi o le PPU ta'itasi.
    • Faʻaopoopoina se avetaʻavale mo le Intel SDSi (Software-Defined Silicon) masini, lea e mafai ai e oe ona pulea le faʻaofiina o faʻaopoopoga mea i totonu o le gaosiga (mo se faʻataʻitaʻiga, faʻatonuga faʻapitoa ma faʻamatalaga faʻapipiʻi faaopoopo). O le manatu o tupe meataalo e mafai ona tuʻuina atu i se tau maualalo faʻatasi ai ma galuega faʻapitoa e lokaina, ona mafai lea ona "faʻatau" ma faʻaogaina atili gafatia e aunoa ma le suia o meafaigaluega o le pu.
    • O le avetaʻavale amd_hsmp ua faʻaopoopoina e lagolago ai le AMD HSMP (Host System Management Port), lea e maua ai le avanoa i galuega faʻafoega e ala i se seti o resitara faʻapitoa na faʻaalia i le AMD EPYC server processors amata i le Fam19h generation. Mo se faʻataʻitaʻiga, e ala i le HSMP e mafai ona e mauaina faʻamatalaga i le faʻaaogaina o le eletise ma le vevela, seti taimi faʻatapulaʻa, faʻagaoioia auala eseese e faʻaleleia ai faʻatinoga, ma pulea faʻamaufaʻailoga manatua.
    • O le io_uring asynchronous I/O interface e fa'atino ai le filifiliga IORING_SETUP_SUBMIT_ALL e lesitala ai se seti o fa'amatalaga faila i totonu o se mama mama, ma le IORING_OP_MSG_RING fa'agaioiga e lafo ai se faailo mai le tasi mama mama i le isi mama mama.
    • O le DAMOS (Data Access Monitoring-based Operation Schemes), lea e mafai ai ona faʻamatuʻu le manatua e faʻatatau i le tele o taimi e manatua ai, ua faʻalauteleina le gafatia mo le mataʻituina o gaioiga manatua mai avanoa faʻaoga.
    • O le faʻasologa lona tolu o patches ua tuʻufaʻatasia ma le faʻatinoina o le manatu o itulau folios, e pei o itulau tuʻufaʻatasia, ae ua faʻaleleia semantics ma se faʻatulagaga manino o galuega. O le faʻaaogaina o tomes e mafai ai e oe ona faʻavaveina le puleaina o mafaufauga i nisi o fatu fatu. I totonu o patches na fuafuaina, o galuega faʻafoe o mafaufauga i totonu na faʻaliliuina i lautusi, e aofia ai fesuiaiga o le get_user_pages() galuega. Tuuina atu le lagolago mo le fatuina o voluma tetele i le code faitau i luma.
    • O lo'o lagolagoina nei e le faiga fa'apotopotoga USERCFLAGS ma USERLDFLAGS fesuiaiga o le siosiomaga, lea e mafai ai ona e pasi fa'aopoopo fu'a i le tu'ufa'atasi ma le so'otaga.
    • I totonu o le eBPF subsystem, o le BTF (BPF Type Format), lea e tuʻuina atu ai faʻamatalaga siaki ituaiga ile BPF pseudocode, e maua ai le tomai e faʻaopoopo ai faʻamatalaga i fesuiaiga e faʻasino i vaega manatua i avanoa o tagata. E fesoasoani fa'amatalaga ile faiga ole fa'amaonia ole BPF ile fa'ailoa lelei ma fa'amaonia avanoa e manatua ai.
    • Ua fa'atūina mai se fa'atonuga fa'asoa fa'amanatu fou mo le teuina o polokalame BPF ua utaina, lea e fa'ataga ai le fa'aoga lelei o le manatua i tulaga o lo'o utaina ai le tele o polokalame BPF.
    • O le fuʻa MADV_DONTNEED_LOCKED ua faʻaopoopoina i le madvise () system call, lea e maua ai meafaigaluega mo le faʻaleleia atili o le pulega o manatuaga, lea e fetaui ma le fuʻa MADV_DONTNEED o loʻo i ai nei, lea e mafai ai ona logo muamua le fatu e uiga i le tatalaina o se poloka manatua, i.e. o lenei poloka ua le toe manaʻomia ma e mafai ona faʻaaogaina e le fatu. E le pei o MADV_DONTNEED, o le faʻaaogaina o le fuʻa MADV_DONTNEED_LOCKED e faʻatagaina mo itulau manatua o loʻo faʻapipiʻiina i le RAM, lea, pe a valaʻau madvise, e faʻateʻaina e aunoa ma le suia o latou tulaga faʻapipiʻi ma, i le tulaga o se avanoa mulimuli ane i le poloka ma le fausiaina o se "itulau. sese,” ua toe faafoi mai ma le fusifusia ua faasaoina. E le gata i lea, ua fa'aopoopoina se suiga e fa'ataga ai le fu'a MADV_DONTNEED e fa'aoga i itulau fa'amanatu tetele i HugeTLB.
  • Virtualization ma le Puipuiga
    • Mo le fausaga o le x86, ua faʻaopoopoina le lagolago mo le Intel IBT (Indirect Branch Tracking) faʻatonuga o le puipuiga o le tafe, lea e taofia ai le faʻaogaina o le faʻaogaina o auala faufale e faʻaaoga ai le faʻaogaina o polokalame polokalame (ROP, Return-Oriented Programming), lea e faʻaogaina ai le faʻaogaina. ua faia i le tulaga o se filifili o telefoni ua uma ona i ai i le manatua o fasi vaega o faatonuga masini faaiu i se faatonuga toe faafoi pulea (e pei o se tulafono, o le faaiuga o galuega). O le autu o le faʻaogaina o le puipuiga o le polokaina lea o suiga le tuusaʻo i le tino o se galuega e ala i le faʻaopoopoina o se faʻatonuga faʻapitoa ENDBR i le amataga o le galuega ma faʻatagaina le faʻatinoina o se suiga le tuusaʻo naʻo le tulaga o se suiga i lenei faatonuga (se faʻaoga le tuusao. valaau e ala i le JMP ma le CALL e tatau lava ona pa'ū i luga o le ENDBR faatonuga, lea e tuʻuina i le amataga o galuega).
    • Fa'afaigofieina le siakiina atili o le pa puipui i totonu o le memcpy(), memmove() ma le memset() galuega, faia i le taimi fa'aputu pe a mafai ona fa'aogaina le CONFIG_FORTIFY_SOURCE. O le suiga fa'aopoopo e fa'apipi'i i lalo i le siakiina pe o elemene o fausaga o lo'o iloa le tele e alu i tua atu o tuaoi. O lo'o maitauina o le fa'atinoga o le fa'atinoga o le a fa'ataga ai le polokaina uma o le memcpy() e feso'ota'i i le kernel pa'u ua fa'ailoa mai i totonu o le tolu tausaga talu ai.
    • Faʻaopoopo le vaega lona lua o le code mo le faʻafouina o le faʻaogaina o le RDRAND pseudo-random numera generator, lea e nafa ma le faʻaogaina o masini / dev / random ma / dev / urandom. O le faʻatinoga fou e lauiloa mo le tuʻufaʻatasia o le faʻaogaina o / dev / random ma / dev / urandom, faʻaopoopoina le puipuiga mai le faʻaalia o kopi i le vaitafe o numera faʻafuaseʻi pe a amata masini masini, ma fesuiaʻi i le faʻaaogaina o le BLAKE2s hash function nai lo SHA1 mo galuega fa'afefiloi entropy. O le suiga na faʻaleleia ai le saogalemu o le pseudo-random number generator e ala i le faʻaumatiaina o le faʻafitauli SHA1 algorithm ma faʻaumatia le overwriting o le RNG initialization vector. Talu ai o le BLAKE2s algorithm e sili atu i le SHA1 i le faʻatinoga, o lona faʻaaogaina na i ai foi se aafiaga lelei i le faatinoga.
    • Mo le fausaga o le ARM64, ua fa'aopoopoina le lagolago mo se fa'ailoga fa'amaonia algorithm fou - "QARMA3", lea e sili atu le vave nai lo le QARMA algorithm a'o fa'atumauina le tulaga sa'o o le puipuiga. O le tekonolosi e mafai ai ona e fa'aogaina fa'atonuga fa'apitoa ARM64 e fa'amaonia ai tuatusi toe fa'afo'i e fa'aaoga ai saini fa'atekinolosi o lo'o teuina i vaega pito i luga e le'i fa'aaogaina o le fa'ailoga lava ia.
    • Mo le fausaga o le ARM64, ua fa'atinoina le lagolago mo le fa'apotopotoga fa'atasi ai ma le fa'aaofia i totonu o le GCC 12 o se faiga puipuiga e fa'asaga i le toe fa'afo'isia o le tuatusi fa'afo'i mai se galuega pe a o'o i le fa'aputuga o le fa'aputuga. O le aano o le puipuiga o le fa'asaoina lea o le tuatusi fa'afo'i i se isi fa'aputuga "ata" pe a uma ona tu'uina atu le pule i se galuega ma toe maua mai lenei tuatusi a'o le'i alu ese mai le galuega.
    • Fa'aopoopoina se kiki fou - "masini", o lo'o iai ki o le pule (MOK, Machine Owner Keys), o lo'o lagolagoina i le shim bootloader. O nei ki e mafai ona fa'aoga e saini fa'atekinolosi vaega o fatu o lo'o fa'atūina i se fa'ata'ita'iga fa'auma (fa'ata'ita'iga, kernel modules).
    • Ave'esea le lagolago mo ki fa'apitoa asymmetric mo TPMs, lea na ofoina atu i se tala fa'asolopito o le TPM, sa iloa fa'afitauli tau puipuiga, ma e le'i fa'aaogaina lautele i le fa'atinoga.
    • Fa'aopoopoina le puipuiga o fa'amaumauga ma le ituaiga size_t mai fa'amamafa fa'atasi. O le fa'ailoga e aofia ai le au fa'atau le size_mul(), size_add() ma le size_sub(), lea e mafai ai ona e fa'ateleina, fa'aopoopo ma to'ese le lapopoa ma le ituaiga size_t.
    • Pe a fausia le fatu, o le "-Warray-bounds" ma le "-Wzero-length-bounds" fuʻa e mafai, lea e faʻaalia ai lapataiga pe a oʻo atu le faʻailoga i tua atu o le laina laina ma pe a faʻaogaina laina e leai se umi.
    • O le masini virtio-crypto ua faʻaopoopoina le lagolago mo faʻamatalaga e faʻaaoga ai le RSA algorithm.
  • Su'ega feso'ota'iga
    • I le faʻatinoina o alalaupapa fesoʻotaʻiga, ua faʻaopoopoina le lagolago mo le faʻaogaina o le taulaga (loka loka), lea e mafai ai e le tagata faʻaoga ona auina atu fefaʻatauaiga i luga o le taulaga naʻo se tuatusi MAC faʻatagaina. Le mafai ona faʻaogaina le tele o fausaga e iloilo ai le tulaga o le STP (Spanning Tree Protocol) protocol ua faʻaopoopoina. I le taimi muamua, e na'o VLAN e mafai ona fa'afanua sa'o i le STP (1:1), ma VLAN ta'itasi e pulea ta'ito'atasi. O le lomiga fou e faaopoopo ai le mst_enable parameter, pe a mafai, o le setete o VLAN e pulea e le MST (Multiple Spanning Trees) module ma o le fusifusia o VLAN e mafai ona fetaui ma le M: ​​N model.
    • Sa fa'aauau pea galuega i le tu'ufa'atasia o mea faigaluega i totonu o le fa'aputuga o feso'ota'iga e siaki ai mafua'aga o le pa'u'ese o pepa (fuaiupu tulafono). O le mafuaʻaga e tuʻuina atu ai le code pe a faʻasaʻoloto le manatua e fesoʻotaʻi ma le paʻu ma faʻatagaina tulaga e pei o le lafoaʻiina o pepa ona o mea sese o le ulutala, rp_filter spoofing detection, siaki le lelei, leai se manatua, IPSec XFRM tulafono faʻaosoina, numera ole faasologa TCP, ma isi.
    • E mafai ona faʻafeiloaʻi pusa fesoʻotaʻiga mai polokalame BPF faʻalauiloa mai avanoa faʻaoga i le BPF_PROG_RUN mode, lea e faʻatino ai polokalame BPF i le fatu, ae toe faʻafoʻi le taunuʻuga i avanoa faʻaoga. O lo'o tu'uina atu afifi e fa'aaoga ai le subsystem XDP (eXpress Data Path). O loʻo lagolagoina le faʻaogaina o pusa ola, lea e mafai ai e le XDP processor ona toe faʻafeiloaʻi paʻu fesoʻotaiga i luga o le lele i luga o le upega o fesoʻotaʻiga poʻo isi masini. E mafai fo'i ona fa'atupuina fa'apolokalame fa'aola o fefa'ataua'iga i fafo pe suitulaga fa'avaa feso'ota'iga i le fa'aputuga feso'ota'iga.
    • Mo polokalame a le BPF o lo'o fa'apipi'i atu i vaega o feso'ota'iga, ua tu'uina atu galuega fesoasoani e fa'atulaga manino le tau toe fa'afo'i mai o telefoni, lea e mafai ai ona tu'uina atu fa'amatalaga atoatoa e uiga i mafua'aga o le polokaina o le telefoni.
    • O le XDP (eXpress Data Path) subsystem ua faʻaopoopoina le lagolago mo pepa vaevaega tuʻuina i le tele o paʻu, lea e mafai ai ona e faʻaogaina faʻavaa Jumbo i le XDP ma faʻaaoga TSO / GRO (TCP Segmentation Offload / Generic Receive Offload) mo XDP_REDIRECT.
    • O le faʻagasologa o le tapeina o igoa o fesoʻotaʻiga ua faʻavavevaveina, lea sa manaʻomia i nisi o faiga tetele ma le tele o feoaiga.
  • Meafaigaluega
    • O le aveta'avale amdgpu e ala i le faaletonu e aofia ai le FreeSync adaptive synchronization technology, lea e mafai ai ona e fetuunai le fua o le faʻafouina o faʻamatalaga i luga o le lau, faʻamautinoa ata lamolemole ma loimata aʻo taʻalo taʻaloga ma matamata i vitio. Aldebaran GPU lagolago ua faʻasalalauina o loʻo mautu.
    • O le aveta'avale i915 e fa'aopoopoina le lagolago mo Intel Alderlake N chips ma Intel DG2-G12 discrete graphics cards (Arc Alchemist).
    • O le aveta'avale fou e maua ai le lagolago mo le maualuga o bitrates mo feso'ota'iga DP/eDP ma le lagolago mo lttprs (Link-Training Tunable PHY Repeaters) fa'alautele uaea.
    • I le drm (Direct Rendering Manager) subsystem i avetaavale armada, exynos, gma500, hyperv, imx, ingenic, mcde, mediatek, msm, omap, rcar-du, rockchip, sprd, sti, tegra, tilcdc, xen ma vc4 lagolago parameter. ua faʻaopoopoina le nomodeset, lea e mafai ai ona e faʻamalo le fesuiaʻiina o ata vitio i le kernel level ma le faʻaogaina o meafaigaluega faʻavavevave faʻapipiʻi, tuʻu ai naʻo galuega e fesoʻotaʻi ma le framebuffer system.
    • Faʻaopoopo le lagolago mo ARM SoС Qualcomm Snapdragon 625/632 (faʻaaogaina i LG Nexus 5X ma Fairphone FP3 smartphones), Samsung Exynos 850, Samsung Exynos 7885 (faʻaaogaina i Samsung Galaxy A8), Airoha (Mediatek / EcoNet) EN7523, Mediatek mt6582 (Prestigio PMT5008 PMT). laulau 3G), Microchip Lan966, Renesas RZ/G2LC, RZ/V2L, Tesla FSD, TI K3/AM62 ma i.MXRTxxxx.
    • Faʻaopoopo le lagolago mo masini ARM ma laupapa mai Broadcom (Raspberry Pi Zero 2 W), Qualcomm (Google Herobrine R1 Chromebook, SHIFT6mq, Samsung Galaxy Book2), Rockchip (Pine64 PineNote, Bananapi-R2-Pro, STM32 Emtrion emSBS, Samsung Galaxy Tab S , Prestigio PMT5008 3G tablet), Allwinner (A20-Marsboard), Amlogic (Amediatek X96-AIR, CYX A95XF3-AIR, Haochuangy H96-Max, Amlogic AQ222 ma OSMC Vero 4K+), Aspeed (Quanta S6VEHM, ASRock) ROMED / Armada (Ctera C8 V3 ma V200 NAS), Mstar (DongShanPiOne, Miyoo Mini), NXP i.MX (Protonic PRT1MM, emCON-MX2M Mini, Toradex Verdin, Gateworks GW8).
    • Fa'aopoopo le lagolago mo leo leo ma codecs AMD PDM, Atmel PDMC, Awinic AW8738, i.MX TLV320AIC31xx, Intel CS35L41, ESSX8336, Mediatek MT8181, nVidia Tegra234, Qualcomm SC7280, Renesas RZ/V2TASL585 Instruments Texas. Faʻaopoopo le faʻatinoga muamua o le avetaavale leo mo Intel AVS DSP pu. Faʻafouina le lagolago a le avetaʻavale mo Intel ADL ma Tegra234, ma faia suiga e faʻaleleia ai le lagolago leo i Dell, HP, Lenovo, ASUS, Samsung ma Clevo masini.

    I le taimi lava e tasi, na fausia ai e le Latin American Free Software Foundation se kopi o le kernel free 5.18 - Linux-libre 5.18-gnu, faʻamamaina elemene o firmware ma avetaʻavale o loʻo i ai vaega e le saʻoloto poʻo vaega code, o le lautele e faʻatapulaʻaina. e le gaosi oloa. O le faʻasalalauga fou e faʻamamā ai avetaavale mo MIPI DBI panels, VPU Amphion, WiFi MediaTek MT7986 WMAC, Mediatek MT7921U (USB) ma Realtek 8852a/8852c, Intel AVS ma Texas Instruments TAS5805M meataalo leo. O faila DTS sa fa'amamāina fo'i mo le tele o Qualcomm SoCs fa'atasi ai ma fa'agaioiga fa'avae i luga o le fausaga AArch64. Faʻafouina le faʻamamāina o le tulafono i avetaʻavale ma subsystems o le AMD GPU, MediaTek MT7915, Silicon Labs WF200 + WiFi, Mellanox Spectru Ethernet, Realtek rtw8852c, Qualcomm Q6V5, Wolfson ADSP, MediaTek HCI UART.

puna: opennet.ru

Faaopoopo i ai se faamatalaga