Linux 5.6 faʻamalolo kernel

Ina ua maeʻa le lua masina o le atinaʻe, Linus Torvalds faʻalauiloa fa'amalologa fatu Linux 5.6. Faatasi ai ma suiga sili ona iloga: tuʻufaʻatasia o le WireGuard VPN interface, lagolago mo le USB4, igoa avanoa mo le taimi, le mafai ona fatuina TCP faʻapipiʻi faʻapipiʻi faʻaogaina le BPF, lagolago muamua mo le MultiPath TCP, faʻaumatia le fatu o le faafitauli 2038, le "bootconfig" mechanism , ZoneFS.

O le lomiga fou e aofia ai 13702 faʻaleleia mai le 1810 atinaʻe,
tele patch - 40 MB (suiga na aafia ai 11577 faila, 610012 laina o code na faʻaopoopoina,
294828 laina aveese). E tusa ma le 45% o mea uma na faʻaalia ile 5.6
suiga e fesoʻotaʻi ma avetaʻavale masini, e tusa ma le 15% o suiga ua iai
uiga aga'i i le fa'afouina o tulafono fa'apitoa i fausaga fa'apitoa, 12%
feso'ota'i ma le fa'aputuga feso'ota'iga, 4% fa'atasi ma faila faila ma 3% fa'atasi ma totonu
kernel subsystems.

tele mea fou:

  • Su'ega feso'ota'iga
    • Faaopoopo faʻatinoga o se faʻaoga VPN WireGuard, lea o loʻo faʻatinoina e faʻavae i luga o auala faʻaonaponei faʻailoga (ChaCha20, Poly1305, Curve25519, BLAKE2s), e faigofie ona faʻaoga, e leai ni faʻalavelave, ua faʻamaonia o ia lava i le tele o faʻatinoga tetele ma tuʻuina atu le maualuga maualuga (3,9 taimi sili atu nai lo OpenVPN i faaupuga. o le gaosiga). O lo'o fa'aogaina e WireGuard le manatu o le fa'auigaina o ki fa'ailoga, lea e aofia ai le fa'apipi'iina o se ki patino i feso'ota'iga feso'ota'iga ta'itasi ma fa'aogaina e fusifusia ai ki lautele. O ki lautele e fesuiai e faʻavae se fesoʻotaʻiga i se auala tutusa ma SSH. Cryptographic primitives manaʻomia mo WireGuard e galue na aveina atu mai le faletusi siniki o se vaega o le Crypto API masani ma aofia ai i totonu 5.5.
    • Amata tuʻufaʻatasia o vaega e manaʻomia e lagolago ai le MPTCP (MultiPath TCP), o se faʻaopoopoga o le TCP protocol mo le faʻatulagaina o le faʻaogaina o se fesoʻotaʻiga TCP ma le tuʻuina atu o afifi i le taimi e tasi i luga o le tele o auala e ala i fesoʻotaʻiga fesoʻotaʻiga eseese e fesoʻotaʻi ma tuatusi IP eseese. Mo feso'ota'iga feso'ota'iga, o sea feso'ota'iga tu'ufa'atasi e foliga mai o se feso'ota'iga TCP masani, ma o lo'o fa'atino uma e le MPTCP le va'aiga va'aiga uma. Multipath TCP e mafai ona faʻaogaina e faʻateleina ai le gaosiga ma faʻateleina le faʻalagolago. Mo se faʻataʻitaʻiga, e mafai ona faʻaogaina le MPTCP e faʻapipiʻi ai faʻamatalaga i luga o se telefoni e faʻaaoga ai WiFi ma 4G soʻotaga i le taimi e tasi, poʻo le faʻaitiitia o tau e ala i le faʻafesoʻotaʻi o se server e faʻaaoga ai le tele o fesoʻotaʻiga taugofie nai lo le tasi taugata.
    • Faaopoopo lagolago mo le feso'ota'iga laina fa'atonuga fa'atonuga sch_ets (Fa'aleleia Filifiliga Fa'asalalau, IEEE 802.1Qaz), lea e maua ai le avanoa e tufatufa ai le bandwidth i le va o vasega eseese o fefaʻatauaiga. Afai o le uta i luga o se vasega taʻavale faʻapitoa o loʻo i lalo ifo o le bandwidth tuʻufaʻatasia, ona faʻatagaina lea e le ETS isi vasega fefaʻatauaʻiga e faʻaoga le bandwidth avanoa (le faʻaaogaina). Qdisc sch_ets ua fa'atulagaina e avea o se PRIO a'oga ma fa'aoga vasega o fefa'ataua'iga e fa'amatala ai tapula'a ma'oti ma fefa'asoaa'i. ETS galue o se tu'ufa'atasiga o a'oga MUA'I и DRR - afai e faʻatapulaʻaina vasega taʻavale, e faʻaaogaina PRIO, ae afai e leai se taʻavale i le laina, e galue pei o le DRR.
    • Fa'aopoopoina se ituaiga fou o polokalame BPF BPF_PROG_TYPE_STRUCT_OPS, lea e mafai ai e oe ona faʻatinoina le faʻaogaina o le fatu e ala ile BPF. I le taimi nei, ua mafai ona faʻaaogaina lenei faʻaoga e faʻatino ai TCP faʻatonuga faʻatonutonu algorithms i le tulaga o polokalame BPF. Mo se faataitaiga fautuaina Polokalame BPF faʻatasi ai ma algorithm faʻatinoga DCTCP.
    • Taliaina i totonu suiga, meafaigaluega faaliliu ethtool faʻatasi ma ioctl() faʻaaoga feso'ota'iga feso'ota'iga. O le atinaʻe fou e faʻafaigofie ai le faʻaopoopoina o faʻaopoopoga, faʻaleleia le faʻaogaina o mea sese, faʻatagaina faʻamatalaga e tuʻuina atu pe a suia le setete, faʻafaigofie le fegalegaleaiga i le va o le kernel ma le avanoa faʻaoga, ma faʻaitiitia le numera o lisi igoa e manaʻomia ona faʻafetaui.
    • Faʻaopoopo le faʻatinoga o le FQ-PIE (Flow Queue PIE) fesoʻotaʻiga faʻasologa o fesoʻotaʻiga algorithm, e faʻatatau i le faʻaitiitia o aʻafiaga leaga o le paʻu paʻu vavalo i luga o meafaigaluega fesoʻotaiga (bufferbloat). FQ-PIE faʻaalia le maualuga maualuga pe a faʻaogaina i faiga faʻaoga faʻatasi ma modems cable.
  • Disk subsystem, I/O ma faila faiga
    • Mo Btrfs faila faiga faaopoopo le fa'atinoina o le fa'atinoga o le DISCARD (fa'ailoga poloka fa'asa'oloto e le toe mana'omia le teuina fa'aletino). I le taimi muamua, sa faia fa'atasi fa'agaioiga a le DISCARD, lea e ono fa'aleagaina ai fa'atinoga ona o ta'avale o lo'o fa'atali mo fa'atonuga e fa'auma. Asynchronous faʻatinoga e mafai ai ona e le faʻatali mo le taʻavale e faʻamaeʻa le DISCARD ma faʻatino lenei gaioiga i tua.
    • I le XFS faiafi ai pea Faʻamamāina le code na faʻaogaina ai le 32-bit taimi tuai (o le time_t type na suia i le time64_t), e taʻitaʻia ai le 2038 faʻafitauli. Fa'atonu mea sese ma mafaufauga leaga na tupu i luga ole 32-bit platforms. O le code ua toe fa'aleleia e galue ma uiga fa'alautele.
    • I le ext4 faila faiga folasia Fa'atonuga fa'atinoga e feso'ota'i ma le fa'aogaina o le lokaina o le inode i le taimi o fa'agaioiga faitau ma tusitusi. Fa'aleleia le fa'atinoina o le toe tusi i le fa'atonu I/O. Ina ia faʻafaigofie le suʻesuʻeina o faʻafitauli, o le faʻailoga muamua ma le mea mulimuli e teuina i totonu o le superblock.
    • I luga ole faila faila F2FS faatinoina mafai ona teuina faʻamaumauga i le faʻapipiʻiina. Mo se faila taʻitasi poʻo se lisi, e mafai ona faʻaogaina le faʻamalosi e faʻaaoga ai le poloaiga "chattr +c file" poʻo le "chattr +c dir; pa'i dir/file". Ina ia faʻapipiʻi le vaeluaga atoa, e mafai ona e faʻaogaina le "-o compress_extension = ext" filifiliga i le faʻaogaina o le mauga.
    • O le fatu e aofia ai se faila faila SoneFS, lea e faafaigofie ai galuega maualalo i masini teu oloa. Zoned drives o lona uiga o masini i luga o tisiki maneta malosi poʻo NVMe SSDs, o le avanoa e teu ai lea e vaevaeina i sone e fai ai vaega o poloka poʻo vaega, lea e naʻo le faʻaopoopoina faʻasolosolo o faʻamaumauga e faʻatagaina, faʻafouina le vaega atoa o poloka. FS ZoneFS na atiina ae e Western Digital ma faʻafesoʻotaʻi sone taʻitasi i le taʻavale ma se faila ese e mafai ona faʻaogaina e teu ai faʻamatalaga i le tulaga mataʻutia e aunoa ma le faʻaogaina i le vaega ma le poloka poloka, i.e. Fa'ataga talosaga e fa'aoga le faila API nai lo le fa'aoga sa'o i le masini poloka e fa'aaoga ai se ioctl.
    • I le NFS, o le faʻapipiʻiina o vaeluaga i luga o le UDP e le mafai ona faʻaogaina. Faʻaopoopo le lagolago mo le mafai ona kopi saʻo faila i le va o sapalai, faʻamatalaina i le NFS 4.2 faʻamatalaga. Faʻaopoopoina se faʻamaufaʻailoga fou "softreval", lea e mafai ai ona faʻaogaina le faʻaogaina o uiga taua i le tulaga o le toilalo o le server. Mo se faʻataʻitaʻiga, pe a faʻamaonia lenei filifiliga, pe a uma ona le maua le 'auʻaunaga, e tumau pea le mafai ona faʻagasolo i luga o auala i le NFS partition ma maua faʻamatalaga ua nofo i totonu o le cache.
    • Faiafi ai pea optimization o le faatinoga o le fs-verity mechanism, faʻaaogaina e mataʻituina ai le faʻamaoni ma le faʻamaoni o faila taʻitasi. Faʻateleina le saoasaoa faitau faʻasolosolo faʻafetai i le faʻaogaina o se laau Merkle hash. O le fa'atinoga o le FS_IOC_ENABLE_VERITY ua fa'asilisiliina pe a leai se fa'amatalaga i totonu o le fa'aoga (faitau muamua o itulau ma fa'amaumauga ua fa'aogaina).
  • Virtualization ma le Puipuiga
    • O le mafai ona fa'agata le SELinux module a'o tamo'e ua fa'agata, ma o le la'uina o se SELinux ua uma ona fa'agaoioia o le a fa'asaina i le lumana'i. Ina ia faʻamalo le SELinux e te manaʻomia le pasia o le "selinux = 0" parakalafa i luga o le laina o le kernel.
    • Faaopoopo lagolago mo igoa avanoa mo le taimi (taimi namespaces), e mafai ai ona e nonoa le tulaga o le uati faiga i le koneteina (CLOCK_REALTIME,
      CLOCK_MONOTONIC, CLOCK_BOOTTIME), fa'aoga lou lava taimi i totonu o le koneteina ma, pe a si'i atu le koneteina i se isi talimalo, ia mautinoa o le CLOCK_MONOTONIC ma le CLOCK_BOOTTIME faitauga e tumau pea e le suia (ia amana'ia le taimi pe a uma ona utaina, ma pe leai foi ma le amanaia o le moe moe. ).

    • O le /dev/random blocking pool ua aveese. O le amio a / dev / random e tutusa ma / dev / urandom i tulaga o le puipuia o le entropy poloka pe a uma le vaitaele amata.
    • O le fatu autu e aofia ai se avetaʻavale e faʻatagaina ai faiga faʻapitoa e faʻatautaia VirtualBox e faʻapipiʻi ai tusi faʻasalalau e auina atu i fafo e le siosiomaga talimalo (VirtualBox Shared Folder).
    • O se seti o patches ua faaopoopo i le BPF subsystem (BPF avefe'au), pe a faʻaaogaina le Retpoline mechanism e puipuia ai mai osofaʻiga a le vasega Specter V2, e mafai ai e oe ona faʻateleina le lelei o le valaʻau polokalame BPF pe a tutupu mea e fesoʻotaʻi ma i latou (mo se faʻataʻitaʻiga, e mafai ai ona faʻavavevave le valaʻau o XDP faʻatautaia pe a taunuu mai le pusa feso'otaiga).
    • Fa'aopoopo le aveta'avale e lagolago ai le TEE (Trusted Execution Environment) fausia i AMD APU.
  • Auaunaga manatua ma faiga
    • BPF ua faʻaopoopoina le lagolago mo galuega faʻavaomalo. O lo'o fa'atinoina le atina'e o se vaega o se taumafaiga e fa'aopoopo le lagolago mo faletusi o galuega tauave e mafai ona aofia i polokalame BPF. O le isi laasaga o le lagolagoina o faʻaopoopoga faʻamalosi e mafai ai ona faʻapipiʻiina galuega faʻavaomalo, e aofia ai le suia o galuega faʻavaomalo o loʻo iai aʻo faʻaaogaina. O le BPF subsystem e faʻaopoopoina foi le lagolago mo se fesuiaiga o le faʻaogaina o faʻafanua (faʻaaogaina e teu ai faʻamaumauga faifai pea), lea e lagolagoina le faʻatinoina i le faiga faʻavae.
    • Faaopoopo O le masini "cpu_cooling" e mafai ai e oe ona faʻamafanafanaina se PPU ua ova le vevela e ala i le tuʻuina i le tulaga le aoga mo ni taimi pupuu.
    • Fa'aopoopo le system call tatala2(), lea e ofoina atu se seti o fuʻa faʻaopoopo e faʻatapulaʻa ai le faʻaogaina o ala faila (faʻasaina o le sopoia o pito mauga, fesoʻotaʻiga faʻatusa, sootaga faʻataulāitu (/proc/PID/fd), “../” vaega).
    • Mo faiga eseese e fa'avae i luga o le fausaga tetele.LITTLE, tu'ufa'atasia le malosi ma le fa'aitiitia o le malosi o le malosi o le CPU i totonu o le pu e tasi, o le uclamp_min ua setiina pe a fa'atino galuega fa'atino (tula'i mai i le kernel 5.3 o loʻo i ai se masini mo le faʻamautuina o le uta). O lenei fa'amaufa'ailoga e fa'amautinoa ai o le a tu'uina atu le galuega e le tagata fa'atulagaina i luga o le CPU autu e lava le fa'atinoga.
    • Ua sa'oloto le fatu mai faafitauli o le 2038. Suia le vaega mulimuli o loʻo totoe, lea na faʻaaogaina le 32-bit (signed int) type time_t mo le faʻataʻitaʻiga taimi, lea, i le amanaia o le lipoti mai le 1970, e tatau ona sosolo i le 2038.
    • Fa'aauau le fa'aleleia o le fa'aoga I/O asynchronous io_uring, i le saunia lagolago mo galuega fou: IORING_OP_FALLOCATE (fa'asao o nofoaga gaogao), IORING_OP_OPENAT,
      IORING_OP_OPENAT2,
      IORING_OP_CLOSE (faila tatala ma tapuni),
      IORING_OP_FILES_UPDATE (faaopoopo ma aveese faila mai le lisi o avanoa vave),
      IORING_OP_STATX (fa'amatalaga faila talosaga),
      IORING_OP_READ,
      IORING_OP_WRITE (fa'atusa fa'afaigofie o IORING_OP_READV ma IORING_OP_WRITEV),
      IORING_OP_FADVISE,
      IORING_OP_MADVISE (su'esu'ega e le tutusa o telefoni posix_fadvise ma madvise), IORING_OP_SEND,
      IORING_OP_RECV (auina atu ma maua fa'amaumauga feso'otaiga),
      IORING_OP_EPOLL_CTL (fa'atino galuega i fa'amatalaga faila epoll).

    • Fa'aopoopo le system call pidfd_getfd(), fa'atagaina se faiga e toe maua mai ai se fa'amatalaga faila mo se faila tatala mai se isi faiga.
    • Fa'atinoina le "bootconfig" masini, lea e mafai ai, i le faaopoopo atu i filifiliga laina laina, e fuafua ai tapulaʻa o le fatu e ala i se faila faila. Ina ia faʻaopoopo ia faila i le ata initramfs, ua faʻatulagaina le faʻaogaina o le bootconfig. O lenei vaega e mafai ona faʻaaogaina, mo se faʻataʻitaʻiga, e faʻapipiʻi kprobes i le taimi o le taʻavale.
    • Toe fai se masini mo le faʻatali mo le tusitusi ma le faitauina o faʻamatalaga i paipa e le o taʻua. O le suiga na mafai ai ona faʻavavevave galuega e pei o le faʻapipiʻi tutusa o galuega tetele. Ae ui i lea, e mafai ona oʻo atu i se tuʻuga tuʻuga i le GNU faia ona o se pusa i le faʻasalalauga 4.2.1, lea na faʻamautu i le version 4.3.
    • Fa'aopoopo le fu'a PR_SET_IO_FLUSHER i prctl(), lea e mafai ona fa'aoga e fa'ailoga faiga e leai se manatua e le tatau ona fa'atapula'aina pe a vaivai le mafaufau.
    • Faʻavae i luga o le ION memory distribution system faʻaaogaina i le Android, ua faʻatinoina se subsystem dma-buf faaputuga, lea e mafai ai e oe ona pulea le tuʻufaʻatasia o paʻu DMA mo le faʻasoaina o vaega manatua i le va o avetaʻavale, tusi talosaga ma isi subsystems.
  • Faiga faufale
    • Faʻaopoopo le lagolago mo le faʻaopoopoga E0PD, lea na faʻaalia i le ARMv8.5 ma faʻatagaina mo le puipuiga mai osofaʻiga e fesoʻotaʻi ma le faʻataunuʻuina o faʻatonuga i luga o le PPU. O le puipuiga fa'avae E0PD e maua ai i lalo ifo o le ulu nai lo le puipuiga KPTI (Kernel Page Table Isolation).
    • Mo faiga fa'avae i luga o le fausaga o le ARMv8.5, ua fa'aopoopoina le lagolago mo le fa'atonuga a le RNG, ma tu'uina atu ai le avanoa i se masini pseudo-random numera generator. I totonu o le fatu, o le RNG faatonuga e faʻaaogaina e faʻatupu ai le entropy pe a amataina le fatu-tuuina atu pseudo-random numera generator.
    • Aveese le lagolago mo MPX (Memory Protection Extensions) faaopoopo i le fatu 3.19 ma fa'atagaina oe e fa'atulaga le siakiina o fa'ailoga e fa'amautinoa ai o lo'o fa'aaloaloina tuaoi o vaega e manatua ai. O lenei tekinolosi e leʻi faʻaaogaina lautele i tagata faʻapipiʻi ma na aveesea mai le GCC.
    • Mo le fausaga RISC-V, o le lagolago mo le KASan (Kernel address sanitizer) faʻapipiʻi meafaigaluega ua faʻatinoina, lea e fesoasoani e iloa ai mea sese pe a galue ma le manatua.
  • Meafaigaluega
    • Fa'atonu lagolago fa'atino USB 4.0, lea e faʻavae i luga o le Thunderbolt 3 protocol ma tuʻuina atu le gaosiga e oʻo atu i le 40 Gbps, aʻo faʻaauau pea le fesoʻotaʻiga i tua ma le USB 2.0 ma le USB 3.2. I se talafaatusa ma Thunderbolt USB 4.0 fa'aoga e mafai ai ona e fa'aogaina fa'asologa eseese i luga o se uaea tasi ma se feso'ota'iga Ituaiga-C, e aofia ai le PCIe, Display Port ma le USB 3.x, faʻapea foʻi ma le faʻaogaina o polokalama faʻapipiʻi o faʻasalalauga, mo se faʻataʻitaʻiga, mo le faʻatulagaina o fesoʻotaʻiga fesoʻotaʻiga i le va o au. O le faʻatinoga o loʻo fausia i luga o le taʻavale Thunderbolt ua uma ona aofia i totonu o le Linux kernel ma faʻafetaui e galue ma USB4-fesoʻotaʻiga talimalo ma masini. O suiga e faʻaopoopoina foi le lagolago mo Thunderbolt 3 masini i le faʻaogaina o le polokalama a le Pule Fesoʻotaʻi, lea e nafa ma le fatuina o tunnels mo le faʻafesoʻotaʻi o masini e tele e ala i se fesoʻotaʻiga tasi.
    • I le avetaʻavale amdgpu faaopoopo lagolago muamua mo le HDCP 2.x (High-bandwidth Digital Content Protection) tekinolosi puipuiga kopi. Faʻaopoopo le lagolago mo le AMD Pollock ASIC chip e faʻavae i luga o Raven 2. Faʻatinoina le gafatia e toe faʻafoʻi ai le GPU mo aiga Renoir ma Navi.
    • DRM avetaʻavale mo kata vitio Intel faaopoopo Lagolago DSI VDSC mo tupe meataalo e faʻavae i luga ole Ice Lake ma Tiger Lake microarchitecture, LMEM mmap (masini i le lotoifale) ua faʻatinoina, VBT (Video BIOS Table) ua faʻaleleia atili, HDCP 2.2 lagolago ua faʻatinoina mo tupe meataalo Coffee Lake.
    • Na fa'aauau pea le galuega i le tu'ufa'atasia o le code aveta'avale amdkfd (mo GPU tu'ufa'atasi, e pei o Fiti, Toga, Polaris) ma le aveta'avale amdgpu.
    • O le avetaavale k10temp ua toe faʻaleleia, faʻaopoopoina le lagolago mo le faʻaalia o le voltage ma le taimi nei mo le AMD Zen CPUs, faʻapea foʻi ma le faʻalauteleina o faʻamatalaga mai masini vevela o loʻo faʻaaogaina i le Zen ma Zen 2 CPUs.
    • I le avetaavale fou faaopoopo lagolago mo le faʻamaonia o le faʻaogaina o le firmware mo NVIDIA GPU e faʻavae i luga o le Turing microarchitecture (GeForce RTX 2000), lea na mafai ai ona faʻatagaina le lagolago mo le faʻavaveina o le 3D mo nei kata (e manaʻomia le siiina o le firmware aloaia ma se saini numera NVIDIA). Faaopoopo le lagolago mo le TU10x masini ata. Fa'afitauli ile HD Audio ua fo'ia.
    • Fa'aopoopoina le lagolago mo le fa'amauina o fa'amaumauga pe a tu'uina atu e ala i le DisplayPort MST (Multi-Stream Transport).
    • Fa'aopoopo le aveta'avale fou"ath11k»mo Qualcomm meataalo uaealesi lagolago 802.11ax.
      O le aveta'avale e fa'avae i luga o le fa'aputuga mac80211 ma e lagolagoina le fa'aoga avanoa, fale faigaluega ma le fa'aogaina o laina fa'aoga.

    • E ala i sysfs, o lo'o maua ai le avanoa i le faitau fa'aogaina o le vevela o lo'o fa'aogaina i ta'avale fa'aonaponei ma SSD.
    • Tuuina atu suiga taua i le ALSA sound system, e fa'amoemoe e aveese le code o faafitauli o le 2038 (aloese mai le fa'aogaina o le 32-bit time_t type i le snd_pcm_mmap_status ma snd_pcm_mmap_control interfaces). Faaopoopo le lagolago mo codec leo fou
      Qualcomm WCD9340/WCD9341, Realtek RT700, RT711, RT715, RT1308, Ingenic JZ4770.

    • Faaopoopo avetaavale mo panels LCD Logic PD 28, Jimax8729d MIPI-DSI, igenic JZ4770, Sony acx424AKP, Leadtek LTK500HD1829, Xinpeng XPP055C272, AUO B116XAK01, GiantPlus GPM940B0,
      BOE NV140FHM-N49,
      Satoz SAT050AT40H12R2,
      Ma'ai LS020B1DD01D.

    • Faaopoopo lagolago mo laupapa ARM ma Gen1 platforms Amazon Echo (OMAP3630-faavae), Samsung Galaxy S III mini (GT-I8190), Allwinner Emlid Neutis, Free Computer ALL-H3-IT, PineH64 Model B, Aibretech Amlogic GX PC,
      Armada SolidRun Clearfog GTR, NXPGateworks GW59xx,
      Tolino Shine 3 eBook faitau,
      Fa'apipi'i Tusiata COM (i.MX7ULP), SolidRun Clearfog CX/ITX ma HoneyComb (LX2160A), Google Coral Edge TPU (i.MX8MQ),
      Rockchip Radxa Dalang Carrier, Radxa Rock Pi N10, VMARC RK3399Pro SOM
      ST Ericsson HREF520, Inforce 6640, SC7180 IDP, Atmel/Microchip AM9X60 (ARM926 SoC, Kizboxmini), ST stm32mp15, AM3703/AM3715/DM3725, ST Ericsson ab8505, Unisoc, SC9863A Qualcomm. Faʻaopoopo le lagolago mo le PCIe pule faʻaaogaina i le Raspberry Pi 7180.

I le taimi lava e tasi, o le Latin American Free Software Foundation faia
filifiliga fua atoa fatu 5.6 - Linux-saoloto 5.6-gnu, fa'amama mai mea fa'amautu ma aveta'avale o lo'o i ai vaega e le sa'oloto po'o vaega fa'ailoga, o le lautele e fa'atapula'aina e le gaosiga. O le faʻasalalauga fou e faʻagata ai le utaina o paʻu i avetaavale mo AMD TEE, ATH11K ma Mediatek SCP. Fa'afou le fa'amamāina o le tulafono ile AMD PSP, amdgpu ma ta'avale fou ma subsystems.

puna: opennet.ru

Faaopoopo i ai se faamatalaga