Linux 6.2 faʻamalolo kernel

Ina ua maeʻa le lua masina o atinaʻe, na tuʻuina atu ai e Linus Torvalds le faʻamalolo o le Linux kernel 6.2. Faatasi ai ma suiga sili ona lauiloa: taliaina o le code i lalo ole Copyleft-Next laisene ua faʻatagaina, faʻaleleia le faʻatinoina o le RAID5/6 i Btrfs, faʻaauau le tuʻufaʻatasia o le lagolago mo le gagana Rust, faʻaitiitia le maualuga o le puipuiga mai osofaiga a Retbleed, le mafai ona faʻatonutonu le faʻaogaina o mafaufauga i le taimi o le toe faʻafoʻi faʻaopoopoina, faʻaopoopoina se masini mo le TCP faapaleniina o le PLB (Protective Load Balancing), ua faʻaopoopoina le faʻaogaina o le faʻaogaina o le faʻaogaina o le tafe (FineIBT), o le BPF ua i ai nei le tomai e faʻamalamalama ai ana lava mea faitino ma faʻamaumauga faʻamaumauga. , o le rv (Runtime Verification) faʻaogaina o loʻo aofia ai, faʻaaogaina le eletise i le faʻatinoga o loka RCU ua faʻaitiitia.

O le lomiga fou e aofia ai 16843 faʻaleleia mai le 2178 developers, o le patch tele o le 62 MB (o suiga na aʻafia ai 14108 faila, 730195 laina o code na faʻaopoopoina, 409485 laina na tapeina). E tusa ma le 42% o suiga uma na faʻaalia i le 6.2 e fesoʻotaʻi ma taʻavale masini, e tusa ma le 16% o suiga e fesoʻotaʻi ma le faʻafouina o tulafono faʻapitoa i fausaga faʻapitoa, 12% e fesoʻotaʻi ma le faʻapipiʻi fesoʻotaʻiga, 4% e fesoʻotaʻi ma faila faila, ma 3% e feso'ota'i i totonu ole kernel subsystems.

Fa'afouga autu ile kernel 6.2:

  • Auaunaga manatua ma faiga
    • E fa'atagaina e fa'aofi i totonu o le kernel code ma suiga ua tu'uina atu i lalo ole laisene Copyleft-Next 0.3.1. O le laisene Copyleft-Next na faia e se tasi o tusitala o le GPLv3 ma e fetaui lelei ma le laisene GPLv2, e pei ona faʻamaonia e loia mai SUSE ma Red Hat. Pe a faatusatusa i le GPLv2, o le laisene Copyleft-Next e sili atu ona faʻatusatusa ma faigofie ona malamalama (o le vaega folasaga ma le taʻua o fetuutuunaiga tuai ua aveese), faʻamalamalamaina le taimi faʻatulagaina ma faiga mo le faʻaumatiaina o soliga, ma otometi ona aveese kopileft manaʻoga mo polokalama tuai e e silia ma le 15 tausaga le matua.

      Copyleft-Next o lo'o iai fo'i se vaega fa'ameaalofa fa'atekonolosi fa'apitoa, lea, e le pei o le GPLv2, e fa'aogaina lenei laisene ma le laisene Apache 2.0. Ina ia mautinoa le fetaui atoatoa ma le GPLv2, Copyleft-Next o loʻo taʻu manino mai e mafai ona tuʻuina atu se galuega faʻavae i lalo ole laisene GPL e faʻaopoopo i le uluai laisene Copyleft-Next.

    • O le fausaga e aofia ai le faʻaogaina o le "rv", lea e maua ai se fesoʻotaʻiga mo fegalegaleaiga mai avanoa faʻaoga ma tagata e faʻaaogaina le RV (Runtime Verification) subsystem, ua fuafuaina e siaki le faʻaogaina saʻo i luga o faiga faʻalagolago tele e faʻamautinoa ai le leai o ni faʻaletonu. O le fa'amaoniga e faia i le taimi e fa'apipi'i ai le au fa'atonu e su'e vaega e siaki ai le aga'i i luma o le fa'atinoga e fa'atatau i se fa'ata'ita'iga fa'ata'ita'i fa'asino a le masini e fa'amatala ai le amio fa'amoemoeina o le faiga.
    • O le masini zRAM, lea e mafai ai ona teuina le vaeluaga o le swap i le manatua i se faiga faʻapipiʻi (o se masini poloka e faia i le manatua lea e faʻaogaina ai le fesuiaiga i le faʻamalosi), faʻaaogaina le gafatia e toe faʻapipiʻi itulau e faʻaaoga ai se isi algorithm e ausia ai se maualuga maualuga. o fa'amalosi. O le manatu autu o le tuʻuina atu lea o se filifiliga i le va o le tele o algorithms (lzo, lzo-rle, lz4, lz4hc, zstd), tuʻuina atu a latou lava fetuunaiga i le va o le compression / decompression speed ma le compression level, poʻo le sili ona lelei i tulaga faʻapitoa (mo se faʻataʻitaʻiga, mo le compressing tele itulau manatua).
    • Faʻaopoopo le "iommufd" API mo le puleaina o le I / O memory management system - IOMMU (I/O Memory-Management Unit) mai avanoa faʻaoga. O le API fou e mafai ai ona faʻatautaia laulau itulau manatua I/O e faʻaaoga ai faila faila.
    • E tuʻuina atu e le BPF le tomai e fatuina ai ituaiga, faʻamalamalamaina au lava mea faitino, fausia lau oe lava faʻasologa o mea faitino, ma fetuutuunai le fatuina o au lava fausaga faʻamaumauga, e pei o lisi fesoʻotaʻi. Mo polokalame BPF o lo'o alu ile moe (BPF_F_SLEEPABLE), ua fa'aopoopo le lagolago mo bpf_rcu_read_{,un}lock() loka. Fa'atinoina le lagolago mo le fa'asaoina o meafaitino task_struct. Fa'aopoopo le ituaiga fa'afanua BPF_MAP_TYPE_CGRP_STORAGE, e tu'uina atu ai le fa'aputuga fa'apitonu'u mo vaega.
    • Mo le RCU (Read-copy-update) masini poloka, o se auala e filifili ai o le "paie" valaau toe foʻi e faʻatinoina, lea e faʻatautaia ai le tele o valaau toe foʻi i le taimi e tasi e faʻaaoga ai le taimi i le faiga faʻaputuga. O le faʻaogaina o le faʻatonuga faʻatulagaina e mafai ai ona faʻaitiitia le faʻaogaina o le eletise i luga o masini Android ma ChromeOS e 5-10% e ala i le tolopoina o talosaga RCU i taimi le aoga poʻo le maualalo o uta i luga o le polokalama.
    • Faʻaopoopoina le sysctl split_lock_mitigate e pulea ai le faʻaogaina o le faiga pe a iloa le vaeluaga o loka e tupu pe a maua faʻamatalaga e le faʻaogaina i le mafaufau ona o faʻamaumauga e sopoia laina e lua o le CPU pe a faʻatino se faatonuga atomic. O ia poloka e taʻitaʻia ai le paʻu tele o le faʻatinoga. O le setiina o le split_lock_mitigate i le 0 na o le tuuina atu o se lapataiga o loʻo i ai se faʻafitauli, ae o le setiina o le split_lock_mitigate i le 1 e mafua ai foi le faagasologa na mafua ai ona faʻagesegese le loka e faʻasaoina le faʻatinoga mo le vaega o totoe o le polokalama.
    • O se faʻatinoga fou o le qspinlock ua tuʻuina atu mo le fausaga o le PowerPC, lea e faʻaalia ai le maualuga o le faʻatinoga ma foia nisi o faʻafitauli loka e tulaʻi mai i tulaga faʻapitoa.
    • O le MSI (Message-Signal Interrupts) faʻalavelave faʻalavelave faʻalavelave ua toe faʻaaogaina, faʻaumatia faʻafitauli faʻapipiʻi faʻapipiʻi ma faʻaopoopo le lagolago mo le fusia o tagata taʻitoʻatasi i masini eseese.
    • Mo faiga e faʻavae i luga o le LoongArch faʻatonuga seti fausaga faʻaogaina i le Loongson 3 5000 processors ma le faʻatinoina o le RISC ISA fou, e tutusa ma MIPS ma RISC-V, lagolago mo ftrace, faʻapipiʻi puipuiga, moe ma faʻataʻitaʻiga auala faʻatinoina.
    • Ua tu'uina atu le tomai e tu'u ai igoa i vaega o manatua e le ta'ua fa'asoa (muamua igoa e mafai ona tu'uina atu i le manatua e le ta'ua patino ua tofia i se faiga fa'apitoa).
    • Fa'aopoopoina se fa'ailoga fou o le kernel command line "trace_trigger", ua fa'atulagaina e fa'agaoioia ai se fa'ailoga e fa'aaogaina e fusifusia ai tulafono e ta'ua pe a fa'aosoina se siaki fa'atonutonu (mo se fa'ata'ita'iga, trace_trigger=”sched_switch.stacktrace pe afai prev_state == 2″).
    • O manaʻoga mo le faʻasologa o le pusa binutils ua faʻateleina. O le fausiaina o le fatu ua manaʻomia nei a itiiti mai binutils 2.25.
    • Pe a valaʻau exec (), o le mafai ona tuʻuina se faʻagasologa i totonu o se igoa ole taimi, lea e ese ai le taimi mai le taimi faʻatulagaina, ua faʻaopoopoina.
    • Ua amata ona matou tu'uina atu galuega fa'aopoopo mai le lala Rust-for-Linux e feso'ota'i ma le fa'aogaina o le gagana Rust e fai ma gagana lona lua mo le atina'eina o ta'avale ma masini fatu. Ole lagolago ole ele e le mafai ona fa'aletonu ma e le i'u ai ile fa'aofiina ole ale ole fa'alagolago ile fatu fatu. O galuega fa'avae o lo'o ofoina mai i le fa'asalalauga talu ai ua fa'alauteleina e lagolago ai le fa'ailoga maualalo, e pei o le Vec type ma le macros pr_debug!(), pr_cont!() ma pr_alert!(), fa'apea fo'i ma le macro procedural "#[vtable". ]", lea e faafaigofie ai le galue ma laulau faʻasino i luga o galuega. O le faʻaopoopoina o le maualuga o le Rust bindings i luga o kernel subsystems, lea o le a faʻatagaina ai le fausiaina o avetaavale atoatoa i Rust, e faʻamoemoeina i faʻasalalauga i le lumanaʻi.
    • O le ituaiga "char" o loʻo faʻaaogaina i totonu o le fatu ua faʻaalia nei e leʻi faʻamaonia e ala i le faʻaogaina mo fausaga uma.
    • O le faʻaogaina o le faʻaogaina o le paʻu - SLOB (slab allocator), lea na mamanuina mo faiga e iai sina mea e manatua ai, ua faʻaalia ua le toe aoga. Nai lo le SLOB, i lalo o tulaga masani e fautuaina e faʻaaoga le SLUB poʻo le SLAB. Mo faiga e itiiti le manatua, e fautuaina e fa'aoga le SLUB ile SLUB_TINY mode.
  • Disk subsystem, I/O ma faila faiga
    • Ua faia ni faʻaleleia atili i Btrfs e faʻatatau i le faʻaleleia o le "tusi tusi" faʻafitauli i RAID 5/6 faʻatinoga (o se taumafaiga e toe faʻafoʻi se RAID pe a tupu se faʻalavelave i le taimi o le tusitusi ma e le mafai ona malamalama po o le a le poloka na tusia saʻo ai le masini RAID, lea e mafai ona taʻitaʻia ai le faʻaumatiaina o poloka, e fetaui ma poloka underwritten). E le gata i lea, o SSD ua otometi lava ona faʻaogaina le faʻaogaina o le lafoaia e ala i le faaletonu pe a mafai, faʻatagaina mo le faʻaleleia atili o le faʻatinoga ona o le faʻavasegaina lelei o le faʻaogaina o gaioiga i laina ma le faʻaogaina o le faʻasologa e se faʻasologa o tua. Fa'aleleia le fa'atinoga o galuega lafo ma lsaili, fa'apea fo'i ma le FIEMAP ioctl.
    • Ua fa'alauteleina le agava'a mo le fa'atonutonuina o tusitusiga tuai (tusi tua, fa'asaoina o fa'amatalaga ua suia) mo masini poloka. I nisi o tulaga, e pei o le faʻaaogaina o masini poloka poloka poʻo le taʻavale USB, o le paie o le tusitusi e mafai ona mafua ai le tele o le RAM. Ina ia mafai ona pulea le amio a le paie tusitusi ma tausia le tele o le cache itulau i totonu o ni tapulaʻa, ua faʻapipiʻiina ni faʻailoga fou strict_limit, min_bytes, max_bytes, min_ratio_fine ma max_ratio_fine i sysfs (/sys/class/bdi/).
    • O le faila faila a le F2FS o loʻo faʻaaogaina se atomic sui ioctl operation, lea e mafai ai ona e tusia faʻamaumauga i se faila i totonu o se gaioiga atomic e tasi. E fa'aopoopoina fo'i e le F2FS se fa'aoga poloka poloka e fesoasoani e iloa ai fa'amatalaga fa'aaogaina po'o fa'amaumauga e le'i mauaina mo se taimi umi.
    • I le ext4 FS na'o fa'asa'oga sese o lo'o matauina.
    • O le faila faila ntfs3 e ofoina atu le tele o filifiliga fou: "nocase" e pulea ai le tulaga maaleale i igoa faila ma le lisi; windows_name e faʻasa ai le faia o igoa faila o loʻo i ai mataitusi e le aoga mo Windows; hide_dot_files e pulea ai le tofiga o le igoa faila natia mo faila e amata i se togi.
    • O le faila faila a le Squashfs o loʻo faʻaaogaina se "filoi =" mount option, lea e mafai ai e oe ona faʻamalamalamaina le numera o filo e faʻatusatusa ai le faʻaogaina o gaioiga. Na faʻaalia foi e Squashfs le mafai ona faʻafanua ID tagata faʻaoga o faila faila faʻapipiʻi, faʻaoga e faʻafetaui faila a se tagata faʻapitoa i luga o se vaeluaga mai fafo faʻapipiʻi ma se isi tagata faʻaoga i le faiga o loʻo iai nei.
    • O le fa'atinoga o lisi fa'atonu avanoa POSIX (POSIX ACLs) ua toe fa'aleleia. O le faʻatinoga fou e faʻaumatia ai faʻafitauli faʻatekonolosi, faʻafaigofie le tausiga o codebase, ma faʻaalia ai faʻamaumauga sili atu ona malupuipuia.
    • O le fscrypt subsystem, lea e faʻaaogaina mo faʻamatalaga manino o faila ma directories, ua faʻaopoopoina le lagolago mo le SM4 encryption algorithm (Chinese standard GB / T 32907-2016).
    • O le gafatia e fausia ai le fatu e aunoa ma le NFSv2 lagolago ua tuʻuina atu (i le lumanaʻi latou te fuafua e taofi atoa le lagolagoina o le NFSv2).
    • O le faʻatulagaina o le siakiina o aia tatau i masini NVMe ua suia. Tuuina atu le tomai e faitau ma tusitusi i se masini NVMe pe afai o le faagasologa o le tusitusi e mafai ona avanoa i le faila tuuto a le masini (muamua le faagasologa e tatau ona i ai le faatagaga CAP_SYS_ADMIN).
    • Aveese le aveta'avale pusa CD/DVD, lea na le toe fa'aaogaina i le 2016.
  • Virtualization ma le Puipuiga
    • O se auala fou o le puipuiga mai le Retbleed vulnerability ua faʻatinoina i le Intel ma le AMD CPUs, e faʻaaoga ai le suʻesuʻeina o le loloto o le telefoni, lea e le faʻagesegese ai galuega e pei o le puipuiga o loʻo i ai muamua mai Retbleed. Ina ia mafai ai le faiga fou, o le kernel command line parameter "retbleed=stuff" ua faʻatulagaina.
    • Faʻaopoopoina le faʻaogaina o le FineIBT faʻatonuga o le puipuiga, tuʻufaʻatasia le faʻaogaina o meafaigaluega Intel IBT (Indirect Branch Tracking) faʻatonuga ma le puipuiga o le polokalama kCFI (kernel Control Flow Integrity) e poloka ai le solia o le faʻatonuga masani o le faʻatonuga (pulea le tafe) ona o le faʻaaogaina. o fa'aogaga e suia ai fa'ailoga o lo'o teuina i manatuaga i galuega. FineIBT faʻatagaina le faʻatinoina e ala i le oso faʻatasi i le tulaga o se oso i le faʻatonuga ENDBR, lea e tuʻuina i le amataga o le galuega. E le gata i lea, e ala i le faʻataʻitaʻiga ma le masini kCFI, ona siaki lea o faʻamau e faʻamautinoa ai le le mafai ona suia o faʻailoga.
    • Faʻaopoopo tapulaʻa e poloka ai osofaʻiga e faʻaogaina ai le faʻatupuina o setete "oops", pe a maeʻa galuega faʻafitauli ma toe faʻaleleia le setete e aunoa ma le taofia o le faiga. Faatasi ai ma se numera tele o telefoni i le "oops" setete, e tupu ai se faʻamaufaʻailoga faʻamaufaʻailoga (refcount), lea e faʻatagaina ai le faʻaogaina o faʻafitauli e mafua mai i le NULL pointer dereferences. Ina ia puipuia mai ia osofaʻiga, ua faʻaopoopoina se tapulaʻa i le fatu mo le numera aupito maualuga o le "oops" faʻaoso, pe a uma ona sili atu le fatu o le a amata ai se suiga i le "panic" setete sosoo ai ma le toe faʻafouina, lea e le mafai ai ona ausia le numera o fa'asologa e mana'omia e fa'amaualuga ai le toe faitau. Ona o le faaletonu, ua seti le tapulaa i le 10 afe "oops", ae afai e manaʻomia, e mafai ona suia e ala i le oops_limit parameter.
    • Fa'aopoopo le fa'asologa o le LEGACY_TIOCSTI ma le sysctl legacy_tiocsti e fa'agata ai le mafai ona tu'u fa'amaumauga i totonu o le laina e fa'aaoga ai le ioctl TIOCSTI, talu ai e mafai ona fa'aoga lenei fa'aoga e sui ai mata'itusi fa'asa'o i totonu o le fa'aoga fa'amaufa'ailoga ma fa'atusa le fa'aoga o tagata.
    • O se ituaiga fou o le fausaga i totonu, encoded_page, o loʻo tuʻuina mai, lea e faʻaogaina ai vaega pito i lalo o le faʻailoga e teu ai faʻamatalaga faaopoopo e faʻaaogaina e puipuia ai mai le faʻalavelave faʻafuaseʻi o le faʻailoga (afai e manaʻomia moni le faʻaogaina, e tatau ona faʻamalo muamua nei vaega faaopoopo) .
    • I luga o le ARM64 platform, i le tulaga o le taʻavale, e mafai ona faʻatagaina pe faʻamalo le faʻaogaina o le polokalama o le Shadow Stack mechanism, lea e faʻaaogaina e puipuia ai mai le soloia o le tuatusi toe foʻi mai se galuega pe a oʻo mai se paʻu faʻafefe i luga o le faaputuga ( o le ute o le puipuiga o le faʻasaoina lea o le tuatusi toe faʻafoʻi i se isi "ata" faʻapipiʻi pe a uma ona tuʻuina atu le pule i le galuega ma toe aumai le tuatusi na tuʻuina atu aʻo leʻi alu ese mai le galuega). Lagolago mo meafaigaluega ma polokalama faʻatinoina o le Shadow Stack i totonu o le tasi kernel faʻapotopotoga e mafai ai ona e faʻaogaina le fatu e tasi i luga o faiga ARM eseese, e tusa lava po o le a le latou lagolago mo faʻatonuga mo le faʻamaoniaina o faʻailoga. O le faʻaofiina o se faʻaogaina o polokalama faʻapipiʻi e faʻatinoina e ala i le suitulaga o faʻatonuga talafeagai i le code i le taimi o le utaina.
    • Faʻaopoopoina le lagolago mo le faʻaogaina o le faʻaogaina o le faʻasalalauga faʻasalalauga i luga o Intel processors, lea e mafai ai ona maua ni osofaʻiga taʻitasi i luga o le code na faia i totonu o SGX enclaves.
    • O lo'o tu'uina atu se seti o gaioiga e mafai ai e le hypervisor ona lagolagoina talosaga mai le Intel TDX (Trusted Domain Extensions) faiga fa'apitoa.
    • O le fatu fau fa'atulagaina RANDOM_TRUST_BOOTLOADER ma RANDOM_TRUST_CPU ua aveese, ae fa'atatau i le laina o le fa'atonuga tutusa filifiliga random.trust_bootloader ma random.trust_cpu.
    • O le Landlock mechanism, lea e mafai ai e oe ona faʻatapulaʻaina le fegalegaleaiga o se vaega o faʻagasologa ma le siosiomaga i fafo, ua faʻaopoopoina le lagolago mo le LANDLOCK_ACCESS_FS_TRUNCATE fuʻa, lea e mafai ai ona pulea le faʻatinoina o le faʻaogaina o faila.
  • Su'ega feso'ota'iga
    • Mo le IPv6, ua fa'aopoopoina le lagolago mo le PLB (Protective Load Balancing), o se faiga fa'apaleni o uta i le va o feso'ota'iga feso'ota'iga e fa'atatau i le fa'aitiitia o le mamafa o mea i luga o fa'amatalaga nofoaga autu. E ala i le suia o le IPv6 Flow Label, e sui fa'afuase'i e le PLB ala o pusa e fa'apaleni le uta i luga o ports sui. Ina ia faʻaitiitia le toe faʻatulagaina o pepa, e faia lenei taʻaloga pe a maeʻa taimi e le aoga pe a mafai. O le faʻaogaina o le PLB i Google faʻamaumauga faʻamaumauga ua faʻaitiitia ai le le paleni o uta i luga o ports sui i le averesi o le 60%, faʻaitiitia le gau o paʻu i le 33%, ma faʻaititia le latency i le 20%.
    • Fa'aopoopo le aveta'avale mo masini MediaTek e lagolagoina le Wi-Fi 7 (802.11be).
    • Fa'aopoopo le lagolago mo feso'ota'iga 800-gigabit.
    • Faʻaopoopo le tomai e toe faʻaigoaina fesoʻotaʻiga fesoʻotaʻiga i luga o le lele, e aunoa ma le taofia o galuega.
    • O le taʻua o le tuatusi IP na taunuu i ai le afifi ua faʻaopoopoina i faʻamatalaga ogalaau e uiga i SYN lolo.
    • Mo le UDP, ua fa'atinoina le mafai ona fa'aogaina laulau hash eseese mo va'aiga feso'otaiga eseese.
    • Mo alalaupapa fesoʻotaʻiga, lagolago mo le MAB (MAC Authentication Bypass) metotia faʻamaonia ua faʻatinoina.
    • Mo le CAN protocol (CAN_RAW), o le lagolago mo le SO_MARK socket mode ua faʻatinoina mo le faʻapipiʻiina o fwmark-faʻavae feʻaveaʻi filiga.
    • fa'atino e ipset se parakalafa fou bitmask e mafai ai ona e setiina se ufimata e fa'avae i luga o fa'ailoga i le tuatusi IP (mo se fa'ata'ita'iga, "ipset create set1 hash:ip bitmask 255.128.255.0").
    • Fa'aopoopoina le lagolago mo le fa'agaioia o fa'auluuluga i totonu i totonu o afifi tunneled i nf_tables.
  • Meafaigaluega
    • O le "accel" subsystem ua faʻaopoopoina ma le faʻatinoina o se faʻavae mo faʻavavevave faʻatautaia, lea e mafai ona tuʻuina atu i le tulaga o ASIC taʻitasi poʻo le tulaga o poloka IP i totonu o le SoC ma le GPU. O nei faʻavavevave e faʻatatau i le faʻavaveina o le fofo o faʻafitauli aʻoaʻoga masini.
    • O le aveta'avale amdgpu e aofia ai le lagolago mo vaega GC, PSP, SMU ma NBIO IP. Mo ARM64 faiga, lagolago mo DCN (Fa'aaliga Core Next) fa'atinoina. O le faʻatinoga o le faʻaogaina o lau mataʻitusi ua siitia mai le faʻaogaina o le DCN10 i le DCN21 ma ua mafai nei ona faʻaoga pe a faʻafesoʻotaʻi le tele o lau.
    • O le avetaavale i915 (Intel) ua faʻamautuina le lagolago mo kata vitio faʻapitoa Intel Arc (DG2/Alchemist).
    • E lagolagoina e le avetaavale Nouveau le NVIDIA GA102 (RTX 30) GPU faʻavae i luga o le fausaga Ampere. Mo kata nva3 (GT215), ua faʻaopoopoina le tomai e pulea ai le moli tua.
    • Faʻaopoopo lagolago mo fesoʻotaʻiga uaealesi e faʻavae ile Realtek 8852BE, Realtek 8821CU, 8822BU, 8822CU, 8723DU (USB) ma MediaTek MT7996 kiliva, Broadcom BCM4377/4378/4387 fesoʻotaʻiga Bluetooth, faʻapea foʻi ma le Motorcomm yt8521 Bluetooth interfaces ma le Motorcomm ytXNUMX.
    • Faʻaopoopo le ASoC (ALSA System on Chip) lagolago mo meataalo leo fausia HP Stream 8, Advantech MICA-071, Dell SKU 0C11, Intel ALC5682I-VD, Xiaomi Redmi Book Pro 14 2022, i.MX93, Armada 38x, RK3588. Faʻaopoopo le lagolago mo le Focusrite Saffire Pro 40 faʻalogo leo. Faʻaopoopo le Realtek RT1318 leo codec.
    • Faʻaopoopo le lagolago mo Sony smartphones ma papa (Xperia 10 IV, 5 IV, X ma X compact, OnePlus One, 3, 3T ma Nord N100, Xiaomi Poco F1 ma Mi6, Huawei Watch, Google Pixel 3a, Samsung Galaxy Tab 4 10.1.
    • Faʻaopoopo le lagolago mo ARM SoC ma Apple T6000 (M1 Pro), T6001 (M1 Max), T6002 (M1 Ultra), Qualcomm MSM8996 Pro (Snapdragon 821), SM6115 (Snapdragon 662), SM4250 (Snapdragon 460), SM6375 (Snapdragon) laupapa , SDM695 (Snapdragon 670), MSM670 (Snapdragon 8976), MSM652 (Snapdragon 8956), RK650 Odroid-Go/rg3326, Zyxel NSA351S, InnoComm i.MX310MM, Odroid Go Ultra.

I le taimi lava e tasi, na fausia ai e le Latin American Free Software Foundation se kopi o le kernel free 6.2 - Linux-libre 6.2-gnu, faʻamamaina elemene o firmware ma avetaʻavale o loʻo i ai vaega faʻapitoa poʻo vaega o tulafono, o le lautele e faʻatapulaʻaina e le gaosiga. O le fa'asalalauga fou e fa'amamāina ai poloka fou i le aveta'avale fou. Ua fa'aletonu le utaina o le pa'u ile mt7622, ​​mt7996 wifi ma le bcm4377 ta'avale Bluetooth. Fa'amama igoa fa'ailoga i faila dts mo le fausaga o le Aarch64. Fa'afou le tulafono fa'amama pa'u i ta'avale eseese ma subsystems. Taofi le faamamaina o le avetaavale s5k4ecgx, aua na aveesea mai le fatu.

puna: opennet.ru

Faaopoopo i ai se faamatalaga