--ΠΡΠΎ -
By
Makore mashoma apfuura, mainjiniya kubva kuIntel
Kunyangwe huwandu hukuru hwezvishandiso zvekubatsira, mainjiniya achiri kumanikidzwa kuita rimwe basa nemaoko. Vanyori vebhuku "
Yepamberi Logic Synthesis "Vanoti dzimwe nguva vanogadzirainofanirwa nyora zvinyorwa muSkill kana Python emamiriyoni maviri mitsetse kugadzira maraibhurari nawomasero .Zvinyorwa zvakare zvakanyorwa kuti zvitsanangure mishumo inogadzirwa neEDA masisitimu. Pakugadzira chip uchishandisa 22nm process tekinoroji, aya mareport anogona kutora anosvika makumi matatu terabytes.
DARPA yakafunga kugadzirisa mamiriro acho uye kuyedza kuenzanisa maitiro ekugadzira. Ku agency futi
Chirongwa cherudzii
Chirongwa ichi chinosanganisira akati wandei mapurojekiti anoshandisa muchina kudzidza uye makore matekinoroji kuita otomatiki matanho ega ega ekugadzira chip. Sechikamu chekutanga
Flow Runner chishandiso chekugadzirisa RTL uye GDSII maraibhurari. Iwo ekupedzisira mafaera edatabase ari chiyero cheindasitiri chekutsinhana ruzivo nezve akabatanidzwa maseketi uye topology yavo. Mhinduro yacho yakavakirwa paDocker mudziyo tekinoroji. Unogona kumhanya Flow Runner zvese mugore uye munharaunda. Gwaro rekuisa riri munzvimbo yepamutemo repository
RePlAce ndeye gore mhinduro yakavakirwa pamuchina kudzidza, iyo ine basa rekuisa zvinhu pane chip uye otomatiki nzira. By
TritonCTS - chishandiso chekugadzirisa wachi pulses inopihwa kune chip. Inobatsira masiginecha ewachi kunzvimbo dzese dzemudziyo nekunonoka kwakafanana. The kushanda musimboti inobva
OpenSTA - injini yekuongorora nguva yakatarwa. Inopa mugadziri mukana wekutarisa kushanda kweiyo chip isati yanyatsounganidzwa. Muenzaniso kodhi mu OpenSTA
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
Iyo yekushandisa inotsigira netlist tsananguro yeVerilog kodhi, Liberty fomati maraibhurari, SDC mafaera, nezvimwe.
Kubatsira uye kuipa
Nyanzvi kubva IBM uye IEEE
Zvinotarisirwa zvakare kuti iyo yakavhurika hunhu yeOpenROAD ichagadzira nharaunda ine simba yakatenderedza maturusi uye kukwezva kutanga kutsva.
--ΠΡΠΎ -
Patova nevatori vechikamu - marabhoritari inogadzira machipisi akavakirwa paYunivhesiti yeMichigan,
Pakazere, maturusi ari kuvandudzwa pasi pehutungamiriri hweDARPA anotarisirwa kuve nemhedzisiro yakanaka paindasitiri yekugadzira, uye mamwe mapurojekiti matsva achatanga kubuda munzvimbo ino. Muenzaniso ungave chishandiso
Zvishandiso zvinowanika zvakasununguka zvinopa masangano akazvimirira uye kutanga mamwe sarudzo. Zvinogoneka kuti nekufamba kwenguva, nzira itsva dzeOpenROAD dzekuvandudza chishandiso cheEDA uye dhizaini yechip inogona kuve chiyero cheindasitiri.
Zvatinonyora nezvazvo mune yedu yekambani blog:
Unboxing: Cisco UCS B480 M5 Blade Server Unboxing: yese-flash yekuchengetedza system NetApp AFF A300 - kutarisa kubva mukati High-density servers: kuongororwa kwemhinduro Cisco UCS - unboxing
Source: www.habr.com