Hurukuro: Iyo OpenROAD purojekiti inotarisira kugadzirisa dambudziko re automation yekugadzira processor

Hurukuro: Iyo OpenROAD purojekiti inotarisira kugadzirisa dambudziko re automation yekugadzira processor
--ΠžΡ‚ΠΎ - Pexels β€” CC BY

By kupihwa PWC, iyo semiconductor tekinoroji musika iri kukura - gore rapfuura yakasvika $481 bhiriyoni. Asi kukura kwayo munguva pfupi yapfuura zvakaderera. Zvikonzero zvekudzikira zvinosanganisira kuvhiringa dhizaini maitiro uye kushomeka kweautomation.

Makore mashoma apfuura, mainjiniya kubva kuIntel akanyorakuti kana uchigadzira yakakwira-inoshanda microprocessor unofanirwa kushandisa zana-100 akasiyana software zvishandiso (UYE KUBVA) Mamiriro ezvinhu anogona kukwidziridzwa munyaya yezvishandiso zvakasiyana-siyana, mavakirwo ayo anosanganisira akati wandei akasiyana machipisi - ASIC, FPGA, CPU kana GPU. Nekuda kweizvozvo, zvikanganiso zvekugadzira zvinoitika izvo zvinononoka kuburitswa kwezvigadzirwa.

Kunyangwe huwandu hukuru hwezvishandiso zvekubatsira, mainjiniya achiri kumanikidzwa kuita rimwe basa nemaoko. Vanyori vebhuku "Yepamberi Logic Synthesis"Vanoti dzimwe nguva vanogadzira inofanirwa nyora zvinyorwa muSkill kana Python emamiriyoni maviri mitsetse kugadzira maraibhurari nawo masero.

Zvinyorwa zvakare zvakanyorwa kuti zvitsanangure mishumo inogadzirwa neEDA masisitimu. Pakugadzira chip uchishandisa 22nm process tekinoroji, aya mareport anogona kutora anosvika makumi matatu terabytes.

DARPA yakafunga kugadzirisa mamiriro acho uye kuyedza kuenzanisa maitiro ekugadzira. Ku agency futi fungakuti nzira dziripo dzekugadzira machipisi dzasakara. Sangano yakatanga purogiramu yemakore mashanu OpenROAD, iyo ine chinangwa chekugadzira zvishandiso zvitsva zve automate chip dhizaini maitiro.

Chirongwa cherudzii

Chirongwa ichi chinosanganisira akati wandei mapurojekiti anoshandisa muchina kudzidza uye makore matekinoroji kuita otomatiki matanho ega ega ekugadzira chip. Sechikamu chekutanga zviri kuvandudzwa (dhayagiramu 1) zviridzwa zvinopfuura gumi. Tevere tichataura zvakadzama nezve mamwe acho: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner chishandiso chekugadzirisa RTL uye GDSII maraibhurari. Iwo ekupedzisira mafaera edatabase ari chiyero cheindasitiri chekutsinhana ruzivo nezve akabatanidzwa maseketi uye topology yavo. Mhinduro yacho yakavakirwa paDocker mudziyo tekinoroji. Unogona kumhanya Flow Runner zvese mugore uye munharaunda. Gwaro rekuisa riri munzvimbo yepamutemo repository paGitHub.

RePlAce ndeye gore mhinduro yakavakirwa pamuchina kudzidza, iyo ine basa rekuisa zvinhu pane chip uye otomatiki nzira. By imwe data, algorithms ane hungwaru anowedzera kushanda kwechishandiso ne2-10% zvichienzaniswa neyekare masisitimu. Pamusoro pezvo, kuita mukati megore kunoita kuti kuyera kuve nyore. Kuisa uye kugadzirisa gwara rinowanikwawo mune repository.

TritonCTS - chishandiso chekugadzirisa wachi pulses inopihwa kune chip. Inobatsira masiginecha ewachi kunzvimbo dzese dzemudziyo nekunonoka kwakafanana. The kushanda musimboti inobva H-miti. Iyi nzira kudenha mashandiro ekuparadzira chiratidzo ne30% zvichienzaniswa nemaitiro echinyakare. Vagadziri vanoti mune ramangwana nhamba iyi inogona kuwedzerwa kusvika 56%. TritonCTS source code uye zvinyorwa zviripo paGitHub.

OpenSTA - injini yekuongorora nguva yakatarwa. Inopa mugadziri mukana wekutarisa kushanda kweiyo chip isati yanyatsounganidzwa. Muenzaniso kodhi mu OpenSTA zvinoita kunge sezvizvi.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Iyo yekushandisa inotsigira netlist tsananguro yeVerilog kodhi, Liberty fomati maraibhurari, SDC mafaera, nezvimwe.

Kubatsira uye kuipa

Nyanzvi kubva IBM uye IEEE kupembererakuti tekinoroji yemakore uye kudzidza kwemichina kwakanonoka kushandiswa mukugadzira chip. Mukuona kwavo, chirongwa cheDARPA chinogona kuva muenzaniso wakabudirira wekushandiswa kweiyi pfungwa uye achaisa kutanga kwekuchinja muindasitiri.

Zvinotarisirwa zvakare kuti iyo yakavhurika hunhu yeOpenROAD ichagadzira nharaunda ine simba yakatenderedza maturusi uye kukwezva kutanga kutsva.

Hurukuro: Iyo OpenROAD purojekiti inotarisira kugadzirisa dambudziko re automation yekugadzira processor
--ΠžΡ‚ΠΎ - Pexels β€” CC BY

Patova nevatori vechikamu - marabhoritari inogadzira machipisi akavakirwa paYunivhesiti yeMichigan, achava wekutanga, ndiani achaedza OpenROAD yakavhurika sosi maturusi. Asi hazvisati zvazivikanwa kana mhinduro nyowani dzichakwanisa kuve nemhedzisiro inooneka pamutengo wezvigadzirwa zvekupedzisira.

Pakazere, maturusi ari kuvandudzwa pasi pehutungamiriri hweDARPA anotarisirwa kuve nemhedzisiro yakanaka paindasitiri yekugadzira, uye mamwe mapurojekiti matsva achatanga kubuda munzvimbo ino. Muenzaniso ungave chishandiso GEDA - inokutendera iwe kugadzira machipisi ane huwandu husingagumi hwezvikamu. gEDA inosanganisira zvinoshandiswa pakugadzirisa uye kuenzanisa ma microcircuits uye bhodhi nzira. Mhinduro yacho yakagadziridzwa mapuratifomu eUNIX, asi huwandu hwezvikamu zvayo zvinoshandawo pasi peWindows. Nhungamiro yekushanda navo inogona kuwanikwa mune zvinyorwa pane webhusaiti yeprojekiti.

Zvishandiso zvinowanika zvakasununguka zvinopa masangano akazvimirira uye kutanga mamwe sarudzo. Zvinogoneka kuti nekufamba kwenguva, nzira itsva dzeOpenROAD dzekuvandudza chishandiso cheEDA uye dhizaini yechip inogona kuve chiyero cheindasitiri.

Zvatinonyora nezvazvo mune yedu yekambani blog:

Source: www.habr.com

Voeg