Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba

Kuenderera mberi nedingindira "Umboo hwako ndehupi?", ngatitarisei dambudziko rekuenzanisira kwemasvomhu kubva kune rumwe rutivi. Pashure pokunge tagutsikana kuti muenzaniso wacho unoenderana nechokwadi cheupenyu hwepamusha, tinogona kupindura mubvunzo mukuru wokuti: β€œchii, chaizvoizvo, chatinacho pano?” Paunenge uchigadzira muenzaniso wechinhu chehunyanzvi, isu kazhinji tinoda kuve nechokwadi chekuti chinhu ichi chinosangana nezvatinotarisira. Nechinangwa ichi, maverengero ane simba emaitiro anoitwa uye mhedzisiro inofananidzwa nezvinodiwa. Iyi idhijitari mapatya, chaiyo prototype, nezvimwe. fashoni vakomana vadiki avo, padanho rekugadzira, vanogadzirisa dambudziko rekuita chokwadi chekuti tinowana zvatakaronga.

Tingakurumidza sei kuita chokwadi chekuti system yedu ndiyo chaiyo yatinogadzira, magadzirirwo edu achabhururuka kana kuyangarara here? Uye kana ikabhururuka, yakakwirira sei? Uye kana ikayangarara, yakadzika zvakadii?

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba

Ichi chinyorwa chinokurukura otomatiki yekusimbisa kutevedzera zvinodiwa zvechivako chehunyanzvi paunenge uchigadzira mamodhiyo ane simba ehunyanzvi masisitimu. Semuyenzaniso, ngatitarisei chimwe chinhu chehunyanzvi hwekutsanangurwa kweiyo ndege yekutonhodza mhepo.

Isu tinotarisa izvo zvinodiwa zvinogona kuratidzwa nenhamba uye kusimbiswa nemasvomhu zvichibva pane chaiyo calculation modhi. Zviri pachena kuti ichi chinongova chikamu chezvakawanda zvinodiwa kune chero tekinoroji system, asi iri pakuvatarisa kuti tinopedza nguva, tsinga uye mari pakugadzira ine simba mhando dzechinhu.

Kana uchitsanangura zvinodiwa zvehunyanzvi muchimiro chegwaro, marudzi akati wandei ezvinodikanwa zvakasiyana anogona kusiyaniswa, imwe neimwe inoda nzira dzakasiyana dzekugadzira otomatiki verification yekuzadzisa zvinodiwa.

Semuenzaniso, funga izvi zvidiki asi zvine musoro seti yezvinodiwa:

  1. Atmospheric air tembiricha pamusuwo wemvura yekurapa system:
    munzvimbo yekupaka - kubva paminus 35 kusvika ku35 ΒΊΠ‘,
    pakubhururuka - kubva paminus 35 kusvika ku39 ΒΊΠ‘.
  2. Iyo static pressure yemhepo yemuchadenga mukubhururuka inobva pa700 kusvika 1013 GPa (kubva pa526 kusvika 760 mm Hg).
  3. Huwandu hwemhepo hwemhepo pamusuwo weSVO mhepo inotorwa mundege inobva pa754 kusvika 1200 GPa (kubva pa566 kusvika 1050 mm Hg).
  4. Kutonhodza tembiricha yemhepo:
    munzvimbo yekupaka - kwete inopfuura 27 ΒΊΠ‘, yezvigadzirwa zvehunyanzvi - kwete kupfuura 29 ΒΊΠ‘,
    mukubhururuka - kwete kupfuura 25 ΒΊΠ‘, yezvigadzirwa zvemagetsi - kwete kupfuura 27 ΒΊΠ‘.
  5. Kuyerera kwemhepo inotonhorera:
    kana yakamira - ingangoita 708 kg / h,
    mukubhururuka - kwete pasi pe660 kg / h.
  6. Tembiricha yemhepo mumidziyo yemidziyo haina kupfuura 60 ΒΊΠ‘.
  7. Huwandu hwehunyoro hwakasununguka hwakasununguka mumhepo inotonhorera haupfuuri 2 g/kg yemhepo yakaoma.

Kunyangwe mukati meiyi diki seti yezvinodiwa, pane anokwana mapoka maviri anoda kubatwa zvakasiyana muhurongwa:

  • zvinodiwa zvemamiriro ekushanda ehurongwa (clause 1-3);
  • parametric zvinodiwa zveiyo system (clause 3-7).

Mamiriro ekushanda kweSystem
Mamiriro ekunze ehurongwa huri kugadzirwa panguva yekuenzanisira inogona kutsanangurwa semiganhu yemiganhu kana semugumisiro wekushanda kweiyo general system.
Mukuenzanisa kwakasimba, zvinodikanwa kuve nechokwadi kuti iyo yakatarwa yekushanda mamiriro akafukidzwa nemaitiro ekufananidza.

Parametric system zvinodiwa
Izvi zvinodikanwa zvimiro zvinopihwa nehurongwa pachahwo. Munguva yekuenzanisira maitiro, tinogona kuwana aya maparamendi semhedzisiro yekuverenga uye tive nechokwadi chekuti zvinodiwa zvinosangana mune yega yega kuverenga.

Zvinodiwa kuzivikanwa uye coding

Kuti zvive nyore kushanda nezvinodiwa, zviyero zviripo zvinokurudzira kugovera chiziviso kune chimwe nechimwe chinodiwa. Kana uchigovera zviziviso, zvinonyanya kudiwa kushandisa yakabatana coding system.

Kodhi inodiwa inogona kungova nhamba inomiririra nhamba yekuraira kwechinodikanwa, kana inogona kunge iine kodhi yerudzi rwechinodikanwa, kodhi yehurongwa kana yuniti iyo inoshanda kwairi, parameter kodhi, kodhi yenzvimbo, uye chero chimwe chinhu chinogona kufungidzira mainjiniya. (ona chinyorwa chekushandisa encoding)

Tafura 1 inopa muenzaniso wakapfava wezvinodiwa kukodha.

  1. kodhi yekwakabva zvinodiwa R-zvinodiwa TK;
  2. kodhi mhando yezvinodiwa E - zvinodiwa - zvakatipoteredza paramita, kana kushanda mamiriro
    S - zvinodiwa zvinopihwa nehurongwa;
  3. kodhi yemamiriro endege 0 - chero, G - yakamira, F - mukubhururuka;
  4. yemuviri parameter mhando kodhi T - tembiricha, P - kudzvanywa, G - kuyerera mwero, humidity H;
  5. serial nhamba yezvinodiwa.

ID
zvinodiwa
tsananguro Parameter
REGT01 Ambient tembiricha yemhepo pamusuwo wemvura inotonhorera system: munzvimbo yekupaka - kubva minus 35ΒΊΠ‘. kusvika ku35 ΒΊΠ‘.
REFT01 Atmospheric tembiricha yemhepo pamusuwo wemhepo yekudzivirira system: mukubhururuka - kubva paminus 35 ΒΊΠ‘ kusvika 39 ΒΊΠ‘.
REFP01 Static atmospheric air pressure mukubhururuka inobva pa700 kusvika 1013 hPa (kubva pa526 kusvika 760 mm Hg).
REFP02 Iyo yakazara mweya yekumanikidza pamusuwo weSVO mhepo inotorwa mundege inobva pa754 kusvika 1200 hPa (kubva pa566 kusvika 1050 mm Hg).
RSGT01 Kutonhora kwemhepo inotonhorera: kana yakamira isingasviki 27 ΒΊΠ‘
RSGT02 Kutonhora kwemhepo inotonhorera: munzvimbo yekupaka, yezvigadzirwa zvehunyanzvi hazvipfuuri 29 ΒΊΠ‘
RSFT01 Kutonhora kwemhepo kunotonhorera mukubhururuka hakupfuuri 25 ΒΊΠ‘
RSFT02 Kutonhora kwemhepo kutonhora: mundege, kune tekinoroji zvikamu zvisingasviki 27 ΒΊΠ‘
RSGG01 Kuyerera kwemhepo inotonhorera: kana yakapakwa isingasviki 708 kg/h
RSFG01 Kuyerera kwemhepo inotonhorera: mukubhururuka kwete pasi pe660 kg / h
RS0T01 Tembiricha yemhepo mumidziyo yemidziyo haipfuuri 60 ΒΊΠ‘
RSH01 Huwandu hwehunyoro hwakasununguka hwakasununguka mumhepo inotonhorera haupfuuri 2 g/kg yemhepo yakaoma

Zvinodiwa verification system dhizaini.

Kune imwe neimwe dhizaini inodiwa kune algorithm yekuongorora kunyorerana kweiyo dhizaini paramita uye iyo parameter inotsanangurwa mune zvinodiwa. Kakawanda, chero system yekutonga inogara iine algorithms yekutarisa zvinodiwa nekungogara. Uye kunyange chero regulator anazvo. Kana kutonhora kuchienda kunze kwemiganhu, air conditioner inotendeuka. Saka, nhanho yekutanga yechero mutemo ndeyekutarisa kana iyo parameter inosangana nezvinodiwa.

Uye sezvo verification iri algorithm, saka tinogona kushandisa maturusi mamwe chete uye maturusi atinoshandisa kugadzira zvirongwa zvekutonga. Semuenzaniso, iyo SimInTech nharaunda inobvumidza iwe kugadzira mapakeji epurojekiti ane zvikamu zvakasiyana zvemuenzaniso, anoitwa nenzira yemapurojekiti akaparadzana (chinhu modhi, control system modhi, nharaunda modhi, nezvimwewo).

Iyo inodiwa yekusimbisa purojekiti mune iyi kesi inova yakafanana algorithm purojekiti uye yakabatana neiyo modhi package. Uye mune dynamic modelling mode inoita ongororo yekuenderana nezvinodiwa zvehunyanzvi hwekuita.

Muenzaniso unobvira wegadziriro yehurongwa unoratidzwa muMufananidzo 1.

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 1. Muenzaniso wekugadzirwa kweprojekti yekusimbisa.

Kungofanana neyekutonga algorithms, zvinodiwa zvinogona kudhirowa seti yemashiti. Kuti zvive nyore kushanda nemaalgorithms mune zvimiro zvekuenzanisira nharaunda seSimInTech, Simulink, AmeSim, kugona kugadzira akawanda-level zvimiro muchimiro che submodels inoshandiswa. Iri sangano rinoita kuti zvikwanisike kuunganidza zvakasiyana-siyana zvinodiwa mumaseti kuti basa rive nyore nemhando yezvinodiwa, sezvinoitwa kudzora algorithms (ona Fig. 2).

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 2. Hierarchical chimiro chezvinodiwa verification model.

Semuenzaniso, muchiitiko chiri kutariswa, mapoka maviri anosiyaniswa: zvinodiwa kune zvakatipoteredza uye zvinodiwa zvakanangana nehurongwa. Nokudaro, dhiyabhorosi yematanho maviri inoshandiswa: mapoka maviri, rimwe nerimwe riri shizha regorgorithm.

Kuti ubatanidze data kumuenzaniso, chirongwa chakajairwa chekugadzira dhatabhesi rechiratidzo chinoshandiswa, chinochengeta data rekuchinjana pakati pezvikamu zveprojekiti.

Paunenge uchigadzira uye kuyedza software, kuverenga kwema sensors (analogs echokwadi system sensors) anoshandiswa neiyo control system anoiswa mune ino database.
Kune purojekiti yekuyedza, chero ma paramita akaverengerwa mumhando ine simba anogona kuchengetwa mune imwecheteyo dhatabhesi uye nekudaro inoshandiswa kutarisa kana izvo zvinodiwa zvinosangana.

Muchiitiko ichi, iyo ine simba modhi pachayo inogona kuitwa mune chero masvomhu modelling system kana kunyange muchimiro chechirongwa chinogoneka. Chinongodiwa chete kuvepo kwesoftware interfaces yekuburitsa data yekuenzanisira kune yekunze nharaunda.

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 3. Kubatanidza purojekiti yekusimbisa kumuenzaniso wakaoma.

Muenzaniso wepepa rekuongorora zvinodiwa zvakakosha unoratidzwa muMufananidzo 4. Kubva pakuona kwemugadziri, ndiyo dhiyabhorosi yekuverenga iyo iyo zvinodiwa verification algorithm inoratidzwa nemifananidzo.

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 4. Zvinodiwa cheki pepa.

Zvikamu zvikuru zvecheki cheki zvinotsanangurwa muMufananidzo 5. Cheki chekigorithm inoumbwa zvakafanana nemadhizaini ekugadzira ekugadzirisa algorithms. Kurutivi rworudyi kune chivharo chekuverenga zviratidzo kubva kune database. Iyi block inowana iyo dhatabhesi yechiratidzo panguva yekufananidza.

Iwo anogamuchirwa masaini anoongororwa kuverenga zvinodiwa verification mamiriro. Muchiitiko ichi, kuongororwa kwekukwirira kunoitwa kuti uone nzvimbo yendege (ingave yakamira kana kuti ichibhururuka). Nechinangwa ichi, unogona kushandisa mamwe masaini uye akaverengerwa paramita yemuenzaniso.

Mamiriro ekusimbisa uye ma paramita ari kuongororwa anoendeswa kune akajairwa verification blocks, umo aya ma paramita anoongororwa kuti atevedzere zvinodiwa. Mhedzisiro yacho inorekodhwa mudura rechiratidzo nenzira yekuti inogona kushandiswa kugadzira otomatiki cheki.

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 5. Chimiro chezvinodiwa verification calculation sheet.

Maparamendi ekuedzwa haafanire kushandisa masaini ari mudhatabhesi, ayo anodzorwa nemaparamendi akaverengerwa panguva yekufananidza maitiro. Hapana chinotitadzisa kuita mamwe maverengero mukati megadziriro yezvinodiwa zvekudhirowa, sekuverenga kwatinoita mamiriro ekuongorora.

Somuenzaniso, ichi chinodiwa:

Nhamba yekushandiswa kwegadziriro yekugadzirisa panguva yekuenda kune chinangwa haifaniri kudarika 5, uye nguva yose yekushanda yegadziriro yekugadzirisa haifaniri kudarika 30 seconds.

Muchiitiko ichi, algorithm yekuverengera nhamba yekutanga uye yakazara nguva yekushanda inowedzerwa kudhizaini yedhizaini yezvinodiwa.

Zvakajairika zvinodiwa verification block.

Imwe neimwe chiyero chinodiwa cheki bhokisi rakagadzirirwa kuverenga kuzadzikiswa kwechinodiwa cheimwe mhando. Semuyenzaniso, zvinodiwa zvezvakatipoteredza zvinosanganisira huwandu hwetembiricha yekushanda kana wapaka uye pakubhururuka. Ichi chivharo chinofanira kugashira tembiricha yemhepo mumuenzaniso separameter uye kuona kana iyi parameter inovhara tembiricha yakatarwa./p>

Iyo block ine maviri ekuisa madoko, param uye mamiriro.

Yekutanga inodyiswa neparameter iri kuongororwa. Muchiitiko ichi, "External tembiricha".

Boolean variable inopihwa kune yechipiri port - mamiriro ekuita cheki.

Kana CHOKWADI (1) chikagamuchirwa pachipiri chekuisa, ipapo bhuroka rinoita chinodiwa chekuongorora kuverenga.

Kana chechipiri chekuisa chikagashira FALSE (0), ipapo mamiriro ebvunzo haazadzikiswe. Izvi zvinodikanwa kuitira kuti mamiriro ekuverenga aonekwe. Kwatiri, iyi yekuisa inoshandiswa kugonesa kana kudzima cheki zvichienderana nemamiriro eiyo modhi. Kana iyo ndege iri pasi panguva yekufananidza, zvino zvinodiwa zvine chekuita nekubhururuka hazvitariswe, uye zvinopesana - kana ndege iri kubhururuka, zvino zvinodiwa zvine chekuita nekushanda pakumira hazvitariswe.

Iyi yekupinza inogona zvakare kushandiswa pakumisikidza modhi, semuenzaniso padanho rekutanga rekuverenga. Kana iyo modhi ichiunzwa munharaunda inodiwa, cheki mabheji akaremara, asi nekukurumidza kana iyo system ichisvika painodiwa maitiro ekushandisa, cheki mabheji anovhurwa.

Maparameter eichi block ndeaya:

  • muganhu mamiriro: kumusoro (UpLimit) uye pasi (DownLimit) muganhu wemiganhu inofanirwa kuongororwa;
  • inodiwa system exposure time pamiganhu yemuganhu (TimeInterval) mumasekonzi;
  • Kumbira ID ReqName;
  • mvumo yekupfuura uwandu hweku Out_range iBoolean variable inotara kana kukosha kunodarika chiyero chakatariswa kutyorwa kwezvinodiwa.

Mune zvimwe zviitiko, kukosha kwebvunzo kuburitsa kunoratidza kuti sisitimu ine imwe margin uye inogona kunge ichishanda kunze kwenzvimbo yayo yekushandisa. Mune zvimwe zviitiko, kuburitsa kunoreva kuti sisitimu haikwanise kuchengetedza maseti mukati mehuwandu.

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 6. Iyo yakajairika pfuma yekutarisa block mudhayagiramu uye yayo paramita.

Nekuda kwekuverenga kwechivharo ichi, iyo Result inoshanduka inoumbwa pane inobuda, iyo inotora zvinotevera kukosha:

  • 0 - rNone, kukosha hakuna kutsanangurwa;
  • 1 - rZvaitwa, zvinodiwa zvinosangana;
  • 2 - rFault, chinodiwa hachizadziswe.

Mufananidzo we block une:

  • identifier text;
  • dhijitari kuratidzwa kweyero miganhu parameters;
  • chiziviso chemavara chechimiro cheparameter.

Mukati me block panogona kunge paine yakaoma inonzwisisika inference inference circuit.

Semuenzaniso, kutarisa tembiricha yekushanda yeyuniti inoratidzwa muMufananidzo 6, dunhu remukati rinoratidzwa muMufananidzo 7.

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 7. Dhiagiramu yemukati yetembiricha yemhando yekusarudza unit.

Mukati medunhu redunhu, zvivakwa zvinotsanangurwa mu block parameters zvinoshandiswa.
Pamusoro pekuongorora kutevedzera zvinodiwa, dhayagiramu yemukati yebhuroko ine girafu inodiwa pakuratidza mhedzisiro yekufananidza. Iyi girafu inogona kushandiswa zvese pakuona panguva yekuverenga uye yekuongorora mhedzisiro mushure mekuverenga.

Mhedzisiro yekuverenga inopfuudzwa kune yakabuda yebhuroko uye panguva imwechete yakanyorwa mune general report file, iyo inogadzirwa zvichienderana nemhedzisiro yeprojekiti yese. (ona mufananidzo 8)

Muenzaniso wemushumo wakagadzirwa zvichibva pamhedzisiro yekuenzanisa ihtml faira rakagadzirwa zvinoenderana nefomati yakapihwa. Mafomati anogona kugadziridzwa zvisina tsarukano kufomati inogamuchirwa nerimwe sangano.

Mukati medunhu redunhu, zvivakwa zvinotsanangurwa mu block parameters zvinoshandiswa.
Pamusoro pekuongorora kutevedzera zvinodiwa, dhayagiramu yemukati yebhuroko ine girafu inodiwa pakuratidza mhedzisiro yekufananidza. Iyi girafu inogona kushandiswa zvese pakuona panguva yekuverenga uye yekuongorora mhedzisiro mushure mekuverenga.

Mhedzisiro yekuverenga inopfuudzwa kune yakabuda yebhuroko uye panguva imwechete yakanyorwa mune general report file, iyo inogadzirwa zvichienderana nemhedzisiro yeprojekiti yese. (ona mufananidzo 8)

Muenzaniso wemushumo wakagadzirwa zvichibva pamhedzisiro yekuenzanisa ihtml faira rakagadzirwa zvinoenderana nefomati yakapihwa. Mafomati anogona kugadziridzwa zvisina tsarukano kufomati inogamuchirwa nerimwe sangano.

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 8. Muenzaniso wefaira reshumo inobva pamigumisiro yekufananidza.

Mumuenzaniso uyu, fomu reshumo rinogadziriswa zvakananga muzvivako zvepurojekiti, uye chimiro chiri patafura chinogadzirirwa sezviratidzo zvepurojekiti yepasi rose. Muchiitiko ichi, SimInTech pachayo inogadzirisa dambudziko rekumisikidza rondedzero, uye bhuroka rekunyora mhinduro kufaira rinoshandisa mitsara iyi kunyora kune faira reshumo.

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 9. Kuisa chimiro cheshumo muzviratidzo zvepurojekiti yepasi rose

Kushandisa chiratidzo dhatabhesi kune zvinodiwa.

Kuti uite otomatiki basa nemagadzirirwo ezvivakwa, chimiro chakajairwa chinogadzirwa mudhatabhesi rechiratidzo kune yega yega bhuroka. (ona mufananidzo 10)

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 10. Muenzaniso wekugadzirwa kwechinodiwa cheki cheki mudura rechiratidzo.

Signal database inopa:

  • Kuchengeta zvese zvinodiwa system zvinodiwa paramita.
  • Kuona kuri nyore kwezviripo zveprojekiti zvinodiwa kubva kune yakatarwa paramita uye zvazvino mamodeli mhinduro.
  • Kumisikidza bhuroko rimwe kana boka remabhuroko uchishandisa scripting programming mutauro. Shanduko mudhatabhesi yechiratidzo inotungamira kune shanduko mune block zvivakwa tsika mudhiyagiramu.
  • Kuchengeta tsananguro yemavara, zvinongedzo kune tekinoroji yakatarwa zvinhu kana zviziviso mune zvinodiwa manejimendi system.

Signal dhatabhesi zvimiro zvezvinodiwa zvinogona kugadzirwa zviri nyore kuti zvishande neyechitatu-bato zvinodiwa manejimendi dhayagiramu yekudyidzana nezvinodiwa manejimendi masisitimu inoratidzwa muFigure 11.

Kuongororwa otomatiki kwezvinodiwa zvehunyanzvi panguva yekuenzanisira ine simba
Mufananidzo 11. Dhiagiramu yekudyidzana nezvinodiwa manejimendi system.

Kutevedzana kwekudyidzana pakati peSimInTech bvunzo purojekiti uye inodiwa control system ndeiyi inotevera:

  1. Matemu ereferensi akakamurwa kuita zvinodiwa.
  2. Izvo zvinodikanwa zvehunyanzvi hwekutsanangurwa zvinoonekwa izvo zvinogona kusimbiswa nemasvomhu modelling yehunyanzvi maitiro.
  3. Hunhu hwezvinodiwa zvakasarudzwa zvinoendeswa kuSimInTech chiratidzo dhatabhesi muchimiro cheyakajairwa mabhuraki (semuenzaniso, yakanyanya uye shoma tembiricha).
  4. Munguva yekuverenga maitiro, data yedhizaini inotamirwa kune block dhizaini dhizaini, kuongororwa kunoitwa uye mhedzisiro inochengetwa mune yechiratidzo database.
  5. Kana kuverenga kwapera, mhinduro dzekuongorora dzinoendeswa kune zvinodiwa manejimendi system.

Zvinodiwa nhanho 3 kusvika ku5 inogona kudzokororwa panguva yekugadzira maitiro apo shanduko kune dhizaini uye / kana zvinodiwa zvikaitika uye kukanganisa kwekuchinja kunoda kuongororwazve.

Mhedziso.

  • Iyo yakasikwa prototype yehurongwa inopa kuderedzwa kwakanyanya munguva yekuongororwa kwemhando dziripo dzekutevedzera zvinodiwa zvehunyanzvi hwekuita.
  • Iyo tekinoroji yekuyedza tekinoroji inoshandisa yatovepo mamodheru ane simba uye inogona kushandiswa kunyangwe kune chero mamodheru ane simba, kusanganisira ayo asina kuitwa munharaunda yeSimInTech.
  • Kushandisa batch data sangano rinokutendera iwe kuti ugadzire zvinodiwa verification mapakeji anoenderana nekusimudzira modhi, kana kutoshandisa aya mapakeji sehunyanzvi hwekugadzira modhi.
  • Iyo tekinoroji inogona kubatanidzwa nezviripo zvinodiwa manejimendi masisitimu pasina mutengo wakakura.

Kune avo vanoverenga kusvika kumagumo, link kune vhidhiyo inoratidza kuti prototype inoshanda sei.

Source: www.habr.com

Voeg