Google yakapa mukana wekugadzirwa kwemahara kwemabheti ekuyedza emachipi akavhurika

Google, yakabatana nemakambani anogadzira SkyWater Technology neEfabless, yakatanga chirongwa chinobvumira vanogadzira Hardware kuti vagadzire machipisi avanogadzira mahara. Chirongwa ichi chine chinangwa chekusimudzira kuvandudzwa kwehardware yakavhurika, kuderedza mutengo wekugadzira mapurojekiti akavhurika uye kurerutsa kudyidzana nekugadzira zvidyarwa. Nekuda kwekutanga, chero munhu anogona kutanga kugadzira yavo ega machipisi asingatyi mutengo wakakura wekugadzira ekutanga prototypes. Zvese kugadzira, kurongedza uye mari yekutumira inovharwa neGoogle.

Zvikumbiro zvekuiswa muchirongwa chemahara chekugadzira zvinogona kuendeswa kamwe chete mwedzi miviri yega yega. Iyo yepedyo slot ichavharwa muna Chikumi 8, uye machipi akakwanisa kupinda mairi achange akagadzirira muna Nyamavhuvhu 30 uye anotumirwa kuvanyori muna Gumiguru 18. Kubva pane zvakatumirwa zvikumbiro, mapurojekiti makumi mana anosarudzwa (kana zvikumbiro zvakatumirwa zviri pasi pemakumi mana, saka vese vakapasa cheki chaiyo vanozoiswa mukugadzira). Zvichienderana nemhedzisiro yekugadzira, mugadziri anogashira makumi mashanu machipi uye mashanu mabhodhi ane akaiswa machipisi.

Zvikumbiro zvinogamuchirwa chete kubva kumapurojekiti akagovaniswa zvizere pasi pemarezinesi akavhurika, asina kuomeswa nezvibvumirano zvekusaburitsa pachena (NDAs) uye kwete kudzikisira chiyero chekushandiswa kwezvigadzirwa zvavo. Dhata yekugadzira inofanirwa kuendeswa muGDSII fomati, pfuura iyo yakapihwa bvunzo seti uye idzokororwe kubva kune sosi dhizaini mafaera (kureva, endesa yakavhurika sosi purojekiti, asi iwe haugone kuendesa dhizaini yekugadzira).

Kurerutsa kuvhurika chip kuvandudza, anotevera akavhurika sosi maturusi aripo:

  • SkyWater PDK (Process Design Kit), kit yezvishandiso inotsanangura iyo 130nm technical process (SKY130) inoshandiswa paSkyWater chirimwa uye inokutendera kuti ugadzirire mafaera ekugadzira anodiwa pakugadzirwa kwemamicrocircuits.
  • OpenLane seti yezvikamu zvekushandura otomatiki kweRTL dhizaini yedhizaini yekushandisa-chaiyo machipisi (ASICs) kuita iyo GDSII fomati inoshandiswa mumafekitori echip.
    Google yakapa mukana wekugadzirwa kwemahara kwemabheti ekuyedza emachipi akavhurika
  • XLS (Accelerated HW Synthesis) ibhuku rekushandisa rekugadzira mafaera ekugadzira ane chip Hardware anoenderana neakapihwa yepamusoro-mwero tsananguro yekushanda kunodiwa, yakagadzirirwa muchimiro chekuvandudza software.
  • Seti yemitemo yeBazel musangano system ine tsigiro yezvishandiso zvakavhurika (Yosys, Verilator, OpenROAD) yekushanda nemitauro yekutsanangura Hardware (Verilog, VHDL, Chisel, nMigen).
  • OpenROAD chigadziriso chekugadzirisa otomatiki maitiro eakavhurika sosi microcircuits.
  • Verible seti yezvishandiso zvekuvandudza mumutauro weVerilog, kusanganisira parser, chimiro chefomati system uye linter.

Source: opennet.ru

Voeg