Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)

Makore akati kuti apfuura ndakazivana neRussia microcontrollers kubva kuMilander. Yakanga iri 2013, apo mainjiniya aikurukura zvine simba mhedzisiro yekutanga yeFederal Target Chirongwa "Development of electronic component base and radio electronics" ye2008-2015. Panguva iyoyo, iyo K1986BE9x controller (Cortex-M3 core) yakanga yatoburitswa, uye 1986BE1T controller (Cortex-M1 core) yakanga ichangobuda. Muchiitiko chepurasitiki, LQFP-144, yaive ine zita rekuti K1986BE1QI (ndege) muzvinyorwa, uye pachippacho pachayo zita MDR32F1QI. Pawebhusaiti yemugadziri ine suffix "avia", sezvo iine nzvimbo dzakanangana neindasitiri yendege (ARINC 429, MIL_STD_1553).

Sezvineiwo, panguva yekugoverwa kweava vatongi, kambani yeMilander yakagadzira zvigadziriso zvekugadzirisa uye raibhurari yema subroutines ekushanda nemaperipherals, "asi pasina mamwe vimbiso kana zvisungo maererano nekurongeka kweraibhurari." Raibhurari iyi yakafanana neiyo Standard Peripheral Library kubva kuSTMicroelectronics. Kazhinji, vese vatongi veARM vakavakirwa paCortex-M musimboti vane zvakawanda zvakafanana. Nechikonzero ichi, kujairana nevatongi vatsva veRussia kwakaenderera nekukurumidza. Uye kune avo vakatenga branded debugging kits, rutsigiro rwehunyanzvi rwakapihwa panguva yekushandiswa.

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
Debug kit ye ​​microcontroller 1986BE1T, © Milander

Zvisinei, nekufamba kwenguva, “hosha dzevacheche” dzema microcircuits matsva nemaraibhurari dzakatanga kuoneka. Mienzaniso yekuedza ye firmware yakashanda pasina matambudziko anooneka, asi nekugadzirisa kwakakosha, kukanganisa uye kukanganisa kwakaitika. Yokutanga "kumedza" mukuita kwangu kwaiva kukanganisa kusinganzwisisiki mukushanda kweCAN controller. Kwapera gore, dambudziko nemodule rakawanikwa pane 1986BE1T (ndege) controller yekudzokorora kwekutanga. MKIO (multiplex information exchange channel). Kazhinji, kudzokororwa kwese kweaya mamicrocontrollers kusvika 2016 aive ekushandiswa kushoma. Yakawanda nguva uye tsinga dzakapinda mukuona matambudziko aya, simbiso yazvino inogona kuwanikwa mukati rondedzero yezvikanganiso (Errata).

Chinhu chisingafadzi chaive chekuti zvaive zvakafanira kushanda uye kugadzirisa zvikanganiso kwete pamabhodhi ekugadzirisa, asi pamabhodhi eprototype emidziyo yakarongerwa kugadzirwa kweserial fekitori. Kwaiwanzove pasina chinhu kunze kweJTAG yekubatanidza. Kubatanidza neanalyzer yelogic kwaive kwakaoma uye kusingaite, uye kazhinji pakanga pasina maLED kana masikirini. Nokuda kwechikonzero ichi, pfungwa yekugadzira bhodhi rangu rekugadzirisa yakaonekwa mumusoro mangu.

Kune rimwe divi, pamusika pamusika pakanga paine mabhii ekugadzirisa, pamwe nemabhodhi anoshamisa kubva kukambani yeLDM-Systems kubva kuZelenograd. Kune rimwe divi, mitengo yezvigadzirwa izvi inokatyamadza, uye basa rekutanga pasina makadhi ekuwedzera harizadzise zvinotarisirwa. Bhodhi rine soldered controller uye pini yekubatanidza haina basa kwandiri. Uye mamwe mapuranga anonakidza anodhura.

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
Development board MILANDR LDM-HELPER-K1986BE1QI-FULL, © LDM Systems

Kambani yeMilander ine yakasarudzika yemitengo mutemo uye kushambadzira. Saka, zvinokwanisika kuwana emahara masampuli emamwe mamicrocircuits, asi izvi zvinongowanikwa kune masangano epamutemo uye zvinosanganiswa neiyo bureaucratic kuda. Kazhinji, microcircuits mune simbi-ceramic kesi igoridhe mune chaiyo uye yekufananidzira pfungwa. Semuenzaniso, 1986BE1T controller inodhura kubva ku14 kusvika ku24 zviuru rubles muMoscow. Iyo 1645RU6U static memory chip inodhura kubva ku15000 rubles. Uye iyi ndiyo mutengo wekuraira kune zvese zvigadzirwa. Nekuda kweizvozvo, kunyangwe masangano ekutsvagisa ane mirairo yehurumende anochengetedza mari uye anonzvenga mitengo yakadaro. Microcircuits mune yepurasitiki kesi yekushandiswa kweveruzhinji yakachipa zvakanyanya, asi haiwanikwe kubva kune vanozivikanwa vatengesi. Mukuwedzera, hutano hwe microcircuits mubhokisi repurasitiki, rinoratidzika kwandiri, rakaipa kupfuura "goridhe". Semuenzaniso, ini handina kukwanisa kumhanya iyo K1986BE1QI controller pa128 MHz pasina kuwedzera iyo flash latency parameter. Panguva imwecheteyo, tembiricha yemutongi uyu yakakwira kusvika 40-50C. Asi 1986BE1T (“goridhe”) controller yakatanga pa128 MHz isina mamwe marongero uye yakaramba ichitonhora. Akanaka chaizvo.

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
"Goridhe" microcontroller 1986BE1T, (c) Milander

Ndakanga ndine rombo rakanaka kuti microcontroller mubhokisi repurasitiki rinogona kutengwa pakutengesa kubva kuLDM Systems, uye madhayagiramu ose ebhodhi anowanikwa pachena. Chinhu chakaipa ndechekuti pawebhusaiti mumufananidzo wemutongi unogona kuona chiratidzo chinoti iyi ndiyo 4th yekudzokorora ye2014, i.e. ane hurema. Ndakafunga kwenguva refu kuti ndotenga here kana kuti ndorega. Makore akati wandei apfuura seizvi...

Pfungwa yekugadzira debug board haina kunyangarika chero kupi. Zvishoma nezvishoma, ndakagadzira zvese zvinodiwa uye ndakafunga nezvekuisa zvese pane imwe bhodhi kuitira kuti ive compact uye isingadhure. Panguva imwecheteyo, ndakaraira zvikamu zvisipo kubva kumaChinese. Ndakanga ndisina kukurumidza - ndakazviitira zvose. Vatengesi veChinese vanozivikanwa nehudofo - ndaifanira kuodha chinhu chimwe chete kubva kunzvimbo dzakasiyana kuti ndiwane zvese zvandaida. Zvakare, mamwe machipisi ekurangarira akazoshandiswa - sezviri pachena akatengeswa kubva kumidziyo yakaputsika. Izvi zvakazondinetsa pave paya.

Kutenga microcontroller Milander K1986BE1QI (mhepo) harisi basa riri nyore. Muchitoro chimwechete cheChip uye Dip, muchikamu che "Items to order", ndakawana K1986BE92QI chete ye740 rubles, asi hazvina kuenderana neni. Iyo chete sarudzo ndeyekutenga isiri-itsva revision kubva kuLDM-Systems ye2000 rubles. Sezvo ndakanga ndisingagoni kuwana chokutsiva kumwe kupi nokupi, ndakasarudza kutenga zvandaiva nazvo. Kukushamisika kwangu, vakanditengesa brand new controller yakagadzirwa muna Zvita 2018, revision 6+ (1820). Asi iyo saiti ichine mufananidzo wekare, uye panguva yekunyora iyo controller haisipo ...

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
Microcontroller K1986BE1QI (ndege) mune tekinoroji kurongedza, (c) Mufananidzo nemunyori

Hunhu hwehunyanzvi hunhu hwebhodhi rangu rekugadzirisa MDB1986 zvinotevera:

  • yakavakirwa-mukati debugger-programmer, inoenderana neJ-Link uye CMSIS-DAP;
  • static memory 4Mbit (256k x 16, 10 ns);
  • flash memory chip 64Mbit, Winbond 25Q64FVSIG;
  • RS-232 interface transceiver ine RTS uye CTS mitsetse;
  • interfaces uye zvibatanidza zveEthernet, USB, CAN;
  • MAX7 7221-chikamu kuratidza controller;
  • pini yekubatanidza yekushanda neMKIO (MIL_STD_1553) uye ARINC429;
  • phototransistor Everlight PT17-21C;
  • ma LED mashanu mavara, bhatani reset uye mabhatani maviri emushandisi;
  • magetsi kuchiteshi che USB ndeye 5 volts;
  • akadhindwa redunhu bhodhi zviyero 100 x 80, mm

Ini ndaifarira iyo STM-Discovery akateedzera mabhodhi nekuti ane akavakirwa-mukati programmer-debugger - ST-Link. Yakagadzirwa ST-Link inoshanda chete nevanodzora kubva kuSTMicroelectronics, asi makore akati wandei apfuura zvakagoneka kugadzirisa iyo firmware muST-Link uye kuwana SEGGER J-Link OB (pa-bhodhi) Debugger. Pamutemo, pane kurambidzwa kushandisa debugger yakadaro chete ne STMicroelectronics mabhodhi, asi chaizvoizvo iyo inogona haina kugumira. Saka, kuve neJ-Link OB, iwe unogona kuve neyakavakirwa-mukati programmer-debugger pane debugging board. Ini ndinoona kuti LDM-Systems zvigadzirwa zvinoshandisa iyo CP2102 (Usb2Uart) inoshandura, inogona chete kupenya.

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
STM32F103C8T6 microcontrollers, chaiyo uye kwete chaiyo, (c) Mufananidzo nemunyori

Saka, zvaive zvakakodzera kutenga yekutanga STM32F103C8T6, sezvo proprietary firmware isingashande nemazvo ne clone. Ndakakahadzika iyi thesis uye ndakafunga kuyedza CS32F103C8T6 controller kubva kuChinese kambani CKS. Ini handina zvichemo nezve controller pachayo, asi proprietary ST-Link firmware haina kushanda mairi. J-Link yakashanda zvishoma - mudziyo we USB wakaonekwa, asi mugadziri wacho haana kuita mabasa ayo uye aigara achiyeuchidza kuti "yakakanganisika".

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
Kukanganisa pakumhanyisa debugger pane isiri-yekutanga controller

Ini handina kugutsikana neizvi uye ndakatanga ndanyora iyo firmware yekubwaira iyo LED, ndobva ndaita iyo IDCODE chikumbiro ndichishandisa JTAG protocol. ST-Link programmer, yandaiva nayo paDiscovery board, uye ST-Link Utility program yakapenya CS32F103C8T6 pasina dambudziko.Pakupedzisira, ndakagutsikana kuti bhodhi rangu raishanda. Kumufaro wangu, mutongi wechinangwa K1986BE1QI (ndege) akaburitsa IDCODE yayo nemufaro kuburikidza nemutsara weTDO.

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
Oscillogram yeTDO siginecha mutsara ine encoded IDCODE mhinduro, (c) Mufananidzo nemunyori

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
Saka iyo SWD port yakauya inobatsira kugadzirisa debugger pachayo uye kutarisa IDCODE

Paive nesarudzo ine debugger CMSIS-DAP (Debug Access Port). Kuvaka purojekiti kubva kuArM masosi harisi basa riri nyore, ndakatora chirongwa kubva X893, ndokubva ndaedza DAP42. Nehurombo, Keil uVision akapindwa nechando uye aisada kushanda navo. Nekuda kweizvozvo, ndakatsiva iyo debugger chip neine STM32F103C8T6 uye handina kuzombodzokera kunyaya iyi.

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
Kubudirira kushanda kweiyo yakavakirwa-mukati debugger J-Link STLink V2

Kana zvese zvakakosha zvebhodhi rekuvandudza remangwana zvave kuwanikwa, ndakapinda muEagle CAD ndikaona kuti vanga vasiri muraibhurari yechinhu. Kwakanga kusina kwekuenda - ndaifanira kudhirowa ini. Panguva imwecheteyo, ndakagadzira nzvimbo dzekukwirisa ndangariro, HanRun chinongedzo cheEthernet, uye yakawedzera mafuremu ekupikisa uye capacitor. Iyo purojekiti faira uye chikamu raibhurari inogona kuwanikwa paGitHub yangu.

Schematic dhizaini yeMDB1986 yekuvandudza bhodhiKuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)

Iyo bhodhi inofambiswa ne5 volt DC sosi inowanikwa kubva ku USB port. Kune akakwana maviri USB Type-B ports pabhodhi. Imwe ndeyemugadziri wepurogiramu, yechipiri ndeyeK1986BE1QI controller. Bhodhi rinogona kushanda kubva kune imwe yeaya masosi kana ese ari maviri panguva imwe chete. Iyo yakapfava kudzora mutoro uye tambo yekudzivirira yemagetsi inoshandiswa uchishandisa Schottky diode, mudunhu D2 uye D3 (SS24). Zvakare mudhayagiramu unogona kuona kuzvidzoreredza fuse F1 uye F2 pa500 mA. Mitsetse yechiratidzo chechiteshi che USB inodzivirirwa ne USBLC6-2SC6 diode musangano.

Iyo ST-Link debugger-programmer yedunhu inozivikanwa kune vakawanda; inogona kuwanikwa muzvinyorwa zveSTM32-Discovery mabhodhi uye mamwe masosi. Kune yekutanga firmware ye ST-Link/J-Link-OB/DAP clone (optional), ndakaburitsa mitsara SWDIO (PA13), SWCLK (PA14), GND. Vanhu vazhinji vanoshandisa UART ye firmware uye vanomanikidzwa kudhonza BOOT jumpers. Asi ini ndinoona SWD iri nyore, uye iyi protocol inobvumira kugadzirisa.

Zvinenge zvese zvikamu zvebhodhi zvinofambiswa ne3.3 volts, iyo inobva kuAMS1117-3.3 voltage regulator. Kudzvanya kupindira kwemagetsi uye kuvhiyiwa kwazvino, LC mafirita kubva kune capacitors uye kudzipwa kweiyo BLM31PG akatevedzana anoshandiswa.

Zvakasiyana, zvakakodzera kutaura nezve MAX7 7221-chikamu kuratidza mutyairi. Zvinoenderana nerondedzero, iyo inokurudzirwa magetsi inopihwa kubva pa4 kusvika ku5.5 volts, uye iyo yakakwira chiratidzo chepamusoro (inonzwisisika imwe) inokwana 3.5V (0.7 x VCC), ine 5V inopihwa. Kune K1986BE1QI (aviation) controller, kubuda kwechikamu chinonzwisisika kunoenderana nevoltage kubva 2.8 kusvika 3.3V. Zviripachena pane kusawirirana pakati pemazinga echiratidzo izvo zvinogona kukanganisa kushanda kwakajairika. Ndakafunga kupa simba iyo MAX7221 pa 4V uye kuderedza mazinga echiratidzo kusvika 2.8V (0.7 x 4 = 2.8). Kuti uite izvi, diode D4 (RS1A kana FR103) yakaiswa munhevedzano mudhiraivha simba redunhu. Iyo yakazara voltage inodonha ndeye 0.9V (Schottky diode 0.3V uye diode 0.6V), uye zvese zvinoshanda.

Mazhinji madoko eK1986BE1QI (aviation) microcontroller anowirirana nemasaini anosvika ku5V. Naizvozvo, hapana dambudziko kushandisa MCP2551 CAN transceiver, inoshandawo pa5V. Iyo MAX232 chip inoratidzwa seRS-3232 transceiver mudhayagiramu, asi kutaura zvazviri ndakashandisa SN65C3232D kubva kuTexas Instruments, nekuti. inoshanda kubva ku3.3V uye inopa kumhanya kusvika ku1Mbit/s.

Bhodhi racho rine 4 quartz resonators - imwe yedebugger (8 MHz) uye mitatu yechinangwa microcontroller K1986BE1QI (ndege) ine zviyero zve 32.768 kHz, 16 MHz, 25 MHz. Izvi zvakakosha zvikamu, nekuti Iyo paramita yeyakavakirwa-mukati RC oscillator iri mukati mehupamhi kubva pa6 kusvika 10 MHz. A frequency ye25 MHz inodiwa pakushanda kweiyo yakavakirwa-mukati Ethernet controller. Nokuda kwechimwe chikonzero, webhusaiti yeMilandra (zvichida nekukanganisa) inotaura kuti plastiki kesi haina Ethernet. Asi isu tichavimba nekutsanangurwa uye chokwadi.

Kurudziro yakakosha yekugadzira bhodhi rangu rekusimudzira waive mukana wekushanda nekunze system bhazi EBC (mutongi webhazi rekunze), inova chiteshi chakafanana. Iyo K1986BE1QI microcontroller (ndege) inokutendera kuti ubatanidze uye ushande neyekunze ndangariro machipisi uye peripheral zvishandiso, semuenzaniso, ADCs, FPGAs, nezvimwe. Kugona kwebhazi rekunze kwakakura kwazvo - unogona kushanda ne8-bit, 16-bit uye 32-bit static RAM, ROM uye NAND Flash. Kuti uverenge / kunyora 32-bit data, mutongi anogona otomatiki kuita maviri anoenderana mashandiro e2-bit machipisi, uye mana mashandiro e16-bit machipisi. Zviripachena, 8-bit I/O oparesheni ichapedzwa nekukurumidza ne4-bit data bhasi. Izvo zvisingabatsiri zvinosanganisira kudiwa kwechirongwa chekushanda ne32-bit data, uye bhodhi ichafanirwa kuisa 32 tracks.

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
Static RAM chips, yakashandiswa (fungidzira kuti ndeipi isina kukwana)

Mhinduro yakaenzana ndeye kushandisa 16-bit memory chips. Ndakanga ndiine Integrated Silicon Solutions Inc. machipisi mustock. (ISSI IS61LV25616AL, 16 x 256k, 10 ns, 3.3V). Ehe, iyo kambani yeMilander ine yayo static memory chips nhepfenyuro 1645RU, asi dzinodhura uye hadziwanikwi. Seimwe nzira, kune pini-inoenderana Samsung K6R4016V1D. Pakutanga ndakambotaura kuti mamicrocircuits akazoshandiswa uye kopi yandakaisa pakutanga yakapa kutadza uye mhirizhonga hunhu mumutsetse wechigumi neshanu. Zvakatora mazuva akati wandei kuwana zvikanganiso zvehardware, uye zvakanyanya kunzwa kugutsikana pandakatsiva iyo chip yakakuvadzwa neiri kushanda. Iva sezvazvingaite, kumhanya kwekushanda nendangariro yekunze kunosiya zvakanyanya kudiwa.

Bhazi rekunze uye StandAlone modhiIyo K1986BE1QI microcontroller (ndege) ine yakasarudzika StandAlone modhi, iyo yakagadzirirwa yakananga yekunze kupinda kune Ethernet uye MKIO controllers (MIL_STD_1553) kuburikidza nekunze bhazi, ine musimboti mune reset mamiriro, i.e. isina kushandiswa. Iyi modhi yakanakira ma processors uye maFPGA asina Ethernet uye/kana MKIO.
Dhiyagiramu yekubatanidza ndeiyi inotevera:

  • data bhazi MCU(D0-D15) => SRAM(I/O0-I/O15),
  • kero bhazi MCU(A1-A18) => SRAM(A0-A17),
  • dzora MCU(nWR,nRD,PortC2) => SRAM (WE,OE,CE),
  • SRAM(UB,LB) yakabatana kana kudhonzwa pasi kuburikidza neinopikisa.

Mutsetse weEC wakabatana kune magetsi kuburikidza neanopikisa; mapini ekuenzanisa iyo MCU byte (BE0-BE3) haashandiswe. Pasi pemuparadzi, ini ndinopa kodhi yekutanga madoko uye wekunze bhazi controller.

Kutanga madoko uye EBC controller (yekunze bhazi controller)

void SRAM_Init (void)
{
	EBC_InitTypeDef          EBC_InitStruct = { 0 };
	EBC_MemRegionInitTypeDef EBC_MemRegionInitStruct = { 0 };
	PORT_InitTypeDef         initStruct = { 0 };

	RST_CLK_PCLKcmd (RST_CLK_PCLK_EBC, ENABLE);

	PORT_StructInit (&initStruct);
	//--------------------------------------------//
	// DATA PA0..PA15 (D0..D15)                   //
	//--------------------------------------------//
	initStruct.PORT_MODE      = PORT_MODE_DIGITAL;
	initStruct.PORT_PD_SHM    = PORT_PD_SHM_ON;
	initStruct.PORT_SPEED     = PORT_SPEED_FAST;
	initStruct.PORT_FUNC      = PORT_FUNC_MAIN;
	initStruct.PORT_Pin       = PORT_Pin_All;
	PORT_Init (MDR_PORTA, &initStruct);	
	//--------------------------------------------//
	// Address PF3-PF15 (A0..A12), A0 - not used. //
	//--------------------------------------------//
	initStruct.PORT_FUNC      = PORT_FUNC_ALTER;
	initStruct.PORT_Pin       = PORT_Pin_4  | PORT_Pin_5  |
	                            PORT_Pin_6  | PORT_Pin_7  |
	                            PORT_Pin_8  | PORT_Pin_9  |
								PORT_Pin_10 | PORT_Pin_11 |
	                            PORT_Pin_12 | PORT_Pin_13 |
								PORT_Pin_14 | PORT_Pin_15;
	PORT_Init (MDR_PORTF, &initStruct);	
	//--------------------------------------------//
	// Address PD3..PD0 (A13..A16)                //
	//--------------------------------------------//
	initStruct.PORT_FUNC      = PORT_FUNC_OVERRID;
	initStruct.PORT_Pin       = PORT_Pin_0 | PORT_Pin_1 |
	                            PORT_Pin_2 | PORT_Pin_3;
	PORT_Init (MDR_PORTD, &initStruct);	
	//--------------------------------------------//
	// Address PE3, PE4 (A17, A18)                //
	//--------------------------------------------//
	initStruct.PORT_FUNC      = PORT_FUNC_ALTER;
	initStruct.PORT_Pin       = PORT_Pin_3 | PORT_Pin_4;
	PORT_Init (MDR_PORTE, &initStruct);	
	//--------------------------------------------//
	// Control PC0,PC1 (nWE,nOE)                  //
	//--------------------------------------------//
	initStruct.PORT_FUNC      = PORT_FUNC_MAIN;
	initStruct.PORT_Pin       = PORT_Pin_0 | PORT_Pin_1;
	PORT_Init (MDR_PORTC, &initStruct);	
	//--------------------------------------------//
	// Control PC2 (nCE)                          //
	//--------------------------------------------//
	initStruct.PORT_PD        = PORT_PD_DRIVER;
	initStruct.PORT_OE        = PORT_OE_OUT;
	initStruct.PORT_FUNC      = PORT_FUNC_PORT;
	initStruct.PORT_Pin       = MDB_SRAM_CE;
	PORT_Init (MDR_PORTC, &initStruct);	

	//--------------------------------------------//
	// Initialize EBC controler                   //
	//--------------------------------------------//
	EBC_DeInit();
	EBC_StructInit(&EBC_InitStruct);
	EBC_InitStruct.EBC_Mode             = EBC_MODE_RAM;
	EBC_InitStruct.EBC_WaitState        = EBC_WAIT_STATE_3HCLK;
	EBC_InitStruct.EBC_DataAlignment    = EBC_EBC_DATA_ALIGNMENT_16;
	EBC_Init(&EBC_InitStruct);
	
	EBC_MemRegionStructInit(&EBC_MemRegionInitStruct);
	EBC_MemRegionInitStruct.WS_Active   = 2;
	EBC_MemRegionInitStruct.WS_Setup    = EBC_WS_SETUP_CYCLE_1HCLK;
	EBC_MemRegionInitStruct.WS_Hold     = EBC_WS_HOLD_CYCLE_1HCLK;
	EBC_MemRegionInitStruct.Enable_Tune = ENABLE;
	EBC_MemRegionInit (&EBC_MemRegionInitStruct, EBC_MEM_REGION_60000000);
	EBC_MemRegionCMD(EBC_MEM_REGION_60000000, ENABLE);

	// Turn ON RAM (nCE)
	PORT_ResetBits (MDR_PORTC, MDB_SRAM_CE);
}

Iyo microcontroller muLQFP-144 package uye ndangariro muTSOP-44 package ine akawanda akabatana mapini uye anotora yakawanda nzvimbo pane yakadhindwa redunhu bhodhi. Kuve neruzivo mukugadzirisa matambudziko ekugadzirisa mumunda wehupfumi, zvaive pachena kwandiri kuti zvaive zvakakodzera kuisa aya ma microcircuits pabhodhi kutanga. Munzvimbo dzakasiyana siyana ndakasangana neanorumbidza wongororo pamusoro CAD TopoR (Topological Router). Ndakatora vhezheni yekuedza uye ndakakwanisa kutumira purojekiti yangu kubva kuEagle CAD ipapo mushure mekunge ndabvisa zvinenge zvese zvikamu. Nehurombo, chirongwa cheTopoR hachina kundibatsira kuisa kunyange gumi zvinhu pabhodhi. Kutanga, zvose zvikamu zvakaiswa mukona, ndokuzorongwa pamwe chete nemucheto. Ndakanga ndisina kugutsikana nesarudzo iyi, uye kwenguva yakareba ndakatsvaga bhodhi nemaoko munzvimbo inozivikanwa yeEagle CAD.

Chinhu chakakosha chebhodhi redunhu rakadhindwa is silk-screen printing. Bhodhi rekuvandudza harifanire kunge riine mavara ezvigadzirwa zvemagetsi chete, asi zvese zvinongedzo zvinofanirwa kunyorwa. Kuseri kwebhodhi ndakaisa matafura ane mabasa eiyo controller ports (main, mamwe, akawandisa, chaiwo). Ndakarayira kubudiswa kwemabhodhi edunhu akadhindwa muChina kubva kuhofisi yePCBWay inozivikanwa zvikuru. Ini handirumbidze nekuti mhando yacho yakanaka. Vanogona kuita zvirinani, nekushivirira kwakasimba, asi pamuripo.

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
Yakagadzirwa MDB1986 mabhodhi edunhu akadhindwa, (c) Mufananidzo nemunyori

Ndaifanira kutengesa zvikamu "pamabvi angu" neine 40-watt soldering iron uye POS-61 solder, nokuti ini ndaiwanzotengesa, 1-2 nguva pagore, uye solder paste yakanga yaoma. Ini zvakare ndaifanira kushandura Chinese CS32F103 controller kune yekutanga STM32F103, uyezve kutsiva ndangariro. Kazhinji, ikozvino ndagutsikana zvachose nemugumisiro, kunyange zvazvo ndisati ndatarisa kushanda kweRS-232 uye CAN.

Kuvandudzwa kwebhodhi rekuvandudza reK1986BE1QI (ndege)
MDB1986 debug board iri kushanda - inopenya nekudziya, (c) Mufananidzo nemunyori

Pawebsite yeMilandra unogona kuwana zvakakwana zvinhu zvekudzidzisa zvevatongi vanodzora series 1986BE9 (Cortex-M3 core), asi yeK1986BE1QI (aviation) microcontroller ini hapana chandinoona ipapo. Ndatarisa zvinhu, mabhuku uye basa remurabhoritari remayunivhesiti akabudiswa ipapo, ndinofara kuti vashandi vari kudzidziswa munyika yose kushanda nevatongi veRussia. Zvizhinji zvekushandisa zvekudzidzisa zvinogadzirira kushanda neI / O ports, nguva, ADC, DAC, SPI, UART. Yakasiyana IDE budiriro nharaunda anoshandiswa (Keil, IAR, CodeMaster). Kumwe kwavanoronga vachishandisa CMSIS marejista, uye kumwe kwavanoshandisa MDR Library. Resource inofanira kutaurwa Kutanga Milanr, iyo ine zvinyorwa zvakawanda kubva kune vanodzidzira mapurogiramu. Uye, chokwadi, hatifaniri kukanganwa nezvazvo Milanra forum.

Ndakafunga nezvaMilandraMicroelectronics iri kusimukira muRussia, uye kambani yeMilander inoita basa rakakosha mukuita uku. Mitsva inonakidza microcontrollers iri kuoneka, semuenzaniso, 1986BE81T uye Elektrosila ine SpaceWire uye MKIO interfaces (zvakafanana nemuna 1986BE1 uye, pamwe, nezvinetso zvakafanana), nezvimwe. Asi vadzidziwo zvavo, vadzidzisi uye mainjiniya ehurumende havagone kutenga ma microcircuits akadaro. Izvi zvinoreva kuti nharaunda yeinjiniya haizokwanisa kukurumidza kuona zvikanganiso uye matambudziko neiyi chip. Zvinoratidzika kwandiri kuti kutanga zvakakosha kugadzira microcircuits mubhokisi repurasitiki, kugovera kune vese vanofarira, uye mushure mekubvumidzwa (chiLatin approbatio - kubvumidzwa, kuzivikanwa) nenyanzvi, vanogona kugadzirira kudzokororwa mune simbi-ceramic kesi ne. kudzivirirwa kubva pazvinhu zvose zvinotyisa. Ndinovimba munguva pfupi iri kutevera TESE tichafadzwa nemapurojekiti matsva akaziviswa pazviratidziro.
Iyo debug board yandakagadzira inogona kudzokororwa, kugadziridzwa uye kushandiswa nemunhu wese mukuita kwedzidzo. Chekutanga, ndakazvigadzirira bhodhi, asi zvakazoitika zvekuti Ndakasarudza kugoverana nemunhu wese.

K1986BE1QI (mhepo) idhiraivha inonakidza ine nzvimbo dzakasiyana-siyana dzinogona kushandiswa mumayunivhesiti kudzidzisa vadzidzi. Ini ndinofunga kuti mushure mekugadzirisa zvikanganiso zvakaonekwa mune controller uye kupasa certification bvunzo, controller inobhururuka nenzira yechokwadi yeshoko!

Source: www.habr.com

Voeg