OpenTTD 1.10.0 kuburitswa

OpenTTD mutambo wemakombuta une chinangwa chekugadzira nekugadzira kambani yekufambisa kuti iwane purofiti yakawanda uye zviyero. OpenTTD ndeye chaiyo-nguva yekufambisa yehupfumi zano rakagadzirwa semutsetse wemutambo unozivikanwa Kutakura Tycoon Deluxe.

OpenTTD vhezheni 1.10.0 kuburitswa kukuru. Zvinoenderana netsika dzakasimbiswa, kuburitswa kukuru kunoburitswa gore rega rega muna Kubvumbi 1st.

CHANGELOG:

  • Kugadziriswa:
    • [Script] Kutsauka kwemuganho wepamusoro kunofanirwa kuverengerwa muchikamu
    • Kubata zvisirizvo kweglobal road/tram hotkeys kwakonzera tsaona
    • [Script] SetOrderFlags neGetOrderDestination hazvina kushanda pakuchera zvibhorani
    • [Script] CanBuildConnectedRoadPartsHere mataira epadhuze akange asina kururama kana mutambo mutsva wakatangwa nesaizi yepasirese.
    • Kudzvanya pazvibodzwa zvepasirese zvisingashande kunofuratirwa
    • Deredza hwindo renhau kune 1024 mameseji kuitira nyore uye kudzivirira mipumburu kubva pakupfachukira.
    • [OSX] Inogoneka kuvandudzwa kwekuita nekuisa iyo nzvimbo yemavara kune sRGB
    • Yakawedzera kushaikwa dock mataira akatenderedza kwaakarerekera maindasitiri zviteshi
    • Iyo tram icon yaingova neseti imwe chete yemitsara yetramu
    • Nhepfenyuro dzine madhokisi akawanda dzaive nematairi asiri iwo akaitenderedza
    • Kupwanya paunenge uchiisa TTD script nedock
    • Scripts inoparara kana uchiwana makambani ane zvitupa zvisirizvo
    • Kupwanya paunenge uchiedza kutara mutsara une mavara asingadhindke
    • Iyo ~/.local/share dhairekitori haina kugadzirwa kana isati yavepo
    • Mazambuko emumugwagwa akanga asipo
    • Kuparara kwekiyi parwizi hakuna kudzorera rwizi nguva dzose
    • Kupwanya paunenge uchiedza kukinha mugamuchiri kuburikidza nercon
    • Mota hadzisisiri kugovaniswa pakati pekumira kwemigwagwa yakawanda
    • Zviteshi zvekuyera zvimiro zvinokanganisa nzvimbo yakakurisa
    • Wira neCtrl+ tinya kuratidza tsaona
    • Kuparara kana uchifonera recursive console aliases
    • Kurodha nguva yakarebesa pachikero chidiki pane zvakakwirira
    • Kuparara kana uchichinja mutauro wekuisa
    • [OSX] Usaratidze kukanganisa dialog yeasiri-GUI vhidhiyo mutyairi
    • Masevha akashatiswa anogona kukanganisa iyo yekuvhura skrini
    • [Fluidsynth] Manotsi erwiyo rwapfuura haana kugadzikwa patsva nemazvo
    • Kushandiswa kusiri iko kwetambo muhwindo remimhanzi
    • Kusarudzika kurongeka kwemazita muhwindo reindasitiri
    • Matambudziko pakuronga mudhorobha rondedzero
    • Yakagadziriswa kuparara kunobvira paunenge uchirodha masavha ekare ane zvisizvo waypoint nzvimbo
    • Dzivisa kuputsika nekunyatso gadzirisa nguva yehurongwa paunenge uchiisa masevha ekare
    • Zvinogona kudonha panguva yekuchenesa mushure mekugadzirisa, izvo zvinogona kubvisa zvikamu zvese zvemigwagwa
    • Yakagadzika tsaona paunenge uchirodha chengetedza vhezheni 1.7.2
    • Kushaikwa kwezvinonzwika kune mamwe mabhatani makuru epaneru
    • Kudzivirira kuvhiringidzika paunenge uchiverenga zvakare mutengo wemabhiriji emakambani anotariswa emakombuta
    • Kubvumira mabhizinesi ekare eNewGRF kuratidza uye kuviga mitoro inotakura zvinhu
    • Kugadzirisa kurodha GUI uchishandisa Uniscript asi pasina Freetype
    • Kushaya makiyi macode muhotkeys.cfg
    • Kuve nechokwadi chekuti mitengo yezvivakwa zvemigwagwa inovandudzwa nemazvo kana uchivandudza migwagwa yako
    • Kudzivirira kuparara kana uchichinja mu-mutambo slot nekuvhara AI / GS text file windows
    • Iko kukosha kweiyo tsika yegungwa yetsika ndiyo kukosha kwepasi
    • [NewGRF] Yakasiyana siyana gadziriso dzenzira
    • Zadzisa zvivakwa zvekusimudzira nekubviswa kwetiramu kumira
    • Kushandisa ViewportSign coordinates eKdtree sign coordinates
    • Kutarisa kuenderana kwehushoma uye hwakanyanya paramita yeNewGRF
    • [Script] Bvumira kudzima kwemavara emuguta akakosha
    • Kuparara kana uchiratidza kukanganisa kumucheto kwemepu
    • [SDL2] Gadzirisa mabatiro ekuisa mune editing mamiriro
    • Matiles ekuvhota pamuzinda haana kuratidza zvinhu zvakanaka
    • Inogona kudonha kana uchibvisa mafuta rig
    • Kashoma kuputsika kana uchiedza kuchenesa chinyorwa chakadonha
    • [SDL2] Museve Wekumusoro/Pasi Museve/Pamba/Pakupedzisira Kiyi Behaviour
    • Tsigiro ye16 inobuda mitoro muchikamu chebhizinesi
    • Kuparara paunenge uchigadzira kukanganisa kwemepu
    • Inogadzirisa chete nguva mushure mekurodha, kana chitima chasvika pachiteshi uye chave nenzvimbo yekutakura
    • Mota dzemhepo dzaigona kunangidzirwa kumabhesi emhepo ari kunze kwenzvimbo yadzo
    • Kuvandudza kugona kwekubvisa helikopta kubva kunhandare dzemuno nedzekunze
    • Kutaridza masinou epasi sprites ezvitima
    • Iyo algorithm yekurongedza mutengo wekudya uye mutengo wazvino hauna kunaka kana mutengo wekudya wakakwira kupfuura mutengo uripo
    • Shanduko diki kune minimap mavara kuita yakasviba yeblue kambani mazita awedzere kuoneka
    • [SDL] Usape zvigadziriso zvidiki pane 640x480
    • Kuratidzwa kusiri iko kwezvigadzirwa zvebhizinesi zvakatenderedza mataira
    • Kuratidza zita remabhizinesi muwindo reLand Area Ruzivo rwemabhizinesi ane zviteshi zvisina kwazvakarerekera pane kungoti "Rig"
    • Yakabviswa zvisina kufanira uye yakatyoka faira maonero pakurodha base seti
    • Gara uchitaura chikanganiso kana uchihodha mota yemhando isiriyo yekumira mugwagwa
    • Kuvandudzwa kwekuita pakugadzira maguta panguva yekusikwa kwenyika
    • Yakabviswa kureba kwekuraira kwechikepe
    • Fluidsynth volume yakakwira zvakanyanya
    • Yakawedzerwa marongero emabhizinesi ane zviteshi zvisina kwazvakarerekera (semuenzaniso, madhiri ekuchera) kugamuchira uye kutumira mitoro kubva kune zviteshi zviri pedyo - TTO-era exploit yakagadziriswa.
    • Resetting drop-down list windows mushure mekushandura AI/GS marongero
  • Shanduko:
    • Kuvhura hwindo rekambani nekudzvanya pane chinangwa chekambani
    • [SDL2] Tsigiro yekumisa kubva pa clipboard paLinux
    • Iyo auto-replenishment set yaendeswa kune yekutanga marongero
    • Yakavandudzwa kubhadhara algorithm yekuchinjisa kune distillation zviteshi
    • Vhoriyamu inotsvedza yave katatu pane rectangular
    • Autorestart inotakura zviwanikwa zvepakutanga (sevha kana script) zvakare
    • Kuverengeka kwakakwenenzverwa kweinteger lists dzakachengetwa kumafaira ekugadzirisa
    • Mabhizinesi asingashande haaite ruzha
    • [Win32] GDI yakatanga kushandiswa kupa mafonti
    • Kuyera chinhambwe pakati pezvinonatsa zvinoenderana nekukura kwemepu
    • Mharidzo dzenhau dzemotokari dzekare hadziratidzirwe kana tsinhani ikavhurwa
    • Paunenge uchisefa runyorwa rwekutenga nerudzi rwekutakura, bhatani rekutenga rinorodhazve mutakuri kana zvichidikanwa
    • Kurambidzwa kutenderedza 90 madhigirii engarava hakushande; zvirango zvekutendeuka zvinogadziriswa.
    • Yakawedzerwa marongero emukana wekudonha kwendege paunomhara panhandare yendege ine nzira pfupi
    • Kuchengeta mwero wekukura kwemaguta zvichienderana nehuwandu hwedzimba
  • Wedzera:
    • Sevha inogona kupa chikonzero chekukandwa/kurambidzwa vatengi
    • [NewGRF] Chiteshi chinosiyana 6A chinobvunza GRFID yematairi echiteshi chiri pedyo
    • Yakavandudzwa pfungwa yekuparadzanisa zvigadzirwa zvebhizinesi pakati pezviteshi zvitatu kana kupfuura
    • Kuratidzira chinhu chiri pasi pechitubu chemouse mune faira rekuona
    • [GS] Matanho ekuchinja zviyero zvekambani yeguta
    • [NewGRF] Callback profiling command
    • Kuseta kuratidzwa kwezita remotokari yeNewGRF pahwindo rekuvaka
    • Mikana yekusefa chikamu chebhizinesi nemhando yemhando
    • Minimap screenshot mhando
    • [GS] Nzira dzekutarisa kuwanikwa kweinjini dzeimwe kambani
    • Configurable kupera kwegore
    • Yakaparadzana hwindo rekutora skrini
    • [Script] Zvimwe zvisungo zvinosungirwa
    • Ctrl + tinya pamotokari mumapoka emotokari hwindo rinosarudza uye kupuruzira kuboka remotokari
    • Ctrl + tinya pane bhatani remota bhatani riri mumotokari yekuona hwindo rinovhura hwindo reboka remotokari nekutarisa pamotokari
    • Yakawedzera bhatani muTS Advisor nhau hwindo kuti uvhure TS boka hwindo
    • Ctrl + tinya pamotokari iri mumotokari rondedzero hwindo inovhura hwindo reboka remotokari nekutarisa kuboka remotokari
    • Configurable diki zera remakambani asati abvumidza kutengeswa kwemasheya
    • Sefa hwindo rezita reguta
    • Kugona kwekuratidzira kwakafanana kwemapepanhau meseji uye mateki
    • Kuratidza nzvimbo yekuvhara yezviteshi nemaguta
    • Mapoka emotokari anobatanidzwa
    • Mamwe madhokisi anogoneka - anopfuura imwechete pachiteshi anotenderwa, ngarava dzinogona kushandisa chero chikamu chechiteshi
    • [NewGRF] 90 dhigirii tendeuka gonesa/dzima mireza yenjanji
    • Isiri-rectangular yekutora nzvimbo dzezviteshi zvakafara
    • Kuvandudza nzira dzekutsvaga nzira dzemotokari dzemumugwagwa
    • Sarudzo yekuratidza miganhu yekanzuru yeguta
    • Nzira yekuyedza yekugadzira mutoro weguta uchikura zvakaenzanirana nehuwandu hwevanhu
    • [NewGRF] Mhando dzemigwagwa (NRT)
    • [Win32] Sarudza MIDI mudziyo nezita rechiteshi
    • getsysdate console command
    • Currencies NTD, CNY, HKD (Dhora idzva reTaiwani, Chinese yuan, Hong Kong dollar)
    • Icons yemotokari dhizaini yekudonha-pasi zvinyorwa
    • Chengetedzo yambiro kune vatambi vane mapassword ekambani asina kuchengetedzeka
    • API mabasa ekutarisira mapoka emotokari
    • Yakawedzera SDL2 mutyairi
  • Zvakabviswa:
    • Tsigira DOS, MorphOS, AmigaOS, BeOS
    • Yekutanga nzira yekutsvaga algorithm

OpenTTD Tutorial

Open.TTDRussia.net (ChiRussian-mutauro saiti nezve OpenTTD)

Source: linux.org.ru

Voeg