Linux 5.11 kernel kuburitswa

Mushure memwedzi miviri yebudiriro, Linus Torvalds akapa kuburitswa kweLinux kernel 5.11. Pakati pekuchinja kunonyanya kukosha: kutsigirwa kweIntel SGX enclaves, nzira itsva yekudzora mafoni ehurongwa, bhazi rekubatsira, kurambidzwa kuunganidza mamodule pasina MODULE_LICENSE (), inokurumidza kusefa maitiro ekufona kwehurongwa museccomp, kumisa rutsigiro rwe ia64 architecture, kuendeswa kweWiMAX tekinoroji kune "staging" bazi, kugona kuvharira SCTP muUDP.

Iyo itsva vhezheni inosanganisira 15480 inogadzirisa kubva ku1991 vanogadzira, saizi yechigamba i72 MB (shanduko dzakakanganisa mafaera gumi nemaviri, 12090 mitsetse yekodhi yakawedzerwa, mitsara ye868025 yakabviswa). Inenge 261456% yeshanduko dzese dzakaunzwa mu46 dzine hukama nevatyairi vemidziyo, ingangoita 5.11% yeshanduko ine chekuita nekuvandudza kodhi yakanangana nehardware architecture, 16% ine hukama netiweki stack, 13% ine hukama nemafaira masisitimu, uye 3% zvine hukama nemukati kernel subsystems.

Zvitsva zvikuru:

  • Disk Subsystem, I/O uye File Systems
    • Nzira dzinoverengeka dzekusimudza dzakawedzerwa kuBtrfs kuti dzishandiswe pakudzoreredza data kubva kune yakakuvadzwa faira system: "kununura = ignorebadroots" yekumisikidza, kunyangwe kukuvadzwa kwemimwe midzi yemiti (yakawanda, uuid, data reloc, mudziyo, csum, nzvimbo yemahara), " rescue=ignoredatacsums” kudzima cheki chekuongorora data uye "rescue = all" kugonesa panguva imwe chete iyo 'ignorebadroots', 'ignoredatacssums' uye 'nologreplay' modhi. Iyo "inode_cache" yekumisikidza sarudzo, iyo yakambodzikiswa, yakamiswa. Iyo kodhi yakagadzirirwa kushandisa tsigiro yezvivharo zvine metadata uye data idiki pane saizi yepeji (PAGE_SIZE), pamwe nerutsigiro rwenzvimbo yekugovera nzvimbo. Unbuffered (Direct IO) zvikumbiro zvakaendeswa kune iomap zvivakwa. Kuita kwehuwandu hwekushanda kwakagadziridzwa; mune dzimwe nguva, kukurumidza kunogona kusvika makumi ezana muzana.
    • XFS inoshandisa "inoda kugadzirisa" mureza, iyo inoratidza kudiwa kwekugadzirisa. Kana mureza uyu waiswa, iyo faira system haigone kukwidzwa kusvika mureza wagadzikiswa ne xfs_repair utility.
    • Ext4 inongopa zvigadziriso zvebug uye optimizations, pamwe nekuchenesa kodhi.
    • Kutumira zvakare kunze kwemafaira masisitimu akaiswa pamusoro peNFS anotenderwa (kureva kuti kupatsanurwa kwakaiswa kuburikidza neNFS ikozvino kunogona kutumirwa kunze kwenyika kuburikidza neNFS uye kushandiswa sepakati cache).
    • The close_range() system call, iyo inobvumira maitiro ekuvhara huwandu hwese hweakavhurika faira descriptors kamwechete, yawedzera CLOSE_RANGE_CLOEXEC sarudzo yekuvhara zvinotsanangura mu close-on-exec mode.
    • Iyo F2FS faira system inowedzera nyowani ioctl() mafoni kubvumidza mushandisi-nzvimbo kutonga pamusoro pemafaira akachengetwa mune yakamanikidzwa fomu. Yakawedzerwa "compress_mode=" gomo sarudzo yekusarudza kana kuisa compression mubato padivi rekernel kana munzvimbo yemushandisi.
    • Inopa kugona kukwidza Overlayfs nemaitiro asina kurongeka uchishandisa yakaparadzana mushandisi zita. Kuti uone kuteererwa neiyo yekuchengetedza modhi yekuitwa, yakazara kodhi yekuongorora yakaitwa. Overlayfs inowedzerawo kugona kumhanya uchishandisa makopi efaira system mifananidzo nekusarudza kudzima UUID kutarisa.
    • Iyo Ceph file system yakawedzera tsigiro ye msgr2.1 protocol, iyo inobvumira kushandiswa kweiyo AES-GCM algorithm pakutumira data mune encrypted fomu.
    • Iyo dm-multipath module inoshandisa kugona kufunga nezveCPU affinity ("IO affinity") pakusarudza nzira yekukumbira I/O.
  • Memory uye system masevhisi
    • Iyo itsva system call interception mechanism yakawedzerwa, zvichibva pane prctl (), iyo inokutendera iwe kuti ugadzire kunze kubva munzvimbo yemushandisi kana uchinge wawana chaiyo system yekufona uye kutevedzera mashandiro ayo. Kushanda uku kunodiwa muWaini neProton kutevedzera Windows system mafoni, izvo zvinodiwa kuti ive nechokwadi chekuenderana nemitambo uye zvirongwa zvinonyatsoita nharembozha ichipfuura iyo Windows API (semuenzaniso, kudzivirira kubva pakushandiswa kusingatenderwe).
    • Iyo userfaultfd () system call, yakagadzirirwa kubata kukanganisa kwepeji (kusvika kune isina kugoverwa ndangariro mapeji) munzvimbo yevashandisi, ikozvino ine kugona kudzima mabatiro akasarudzika anoitika padanho re kernel kuita kuti zvinyanye kunetsa kushandisa humwe hudziviriro.
    • Iyo BPF subsystem yakawedzera tsigiro yebasa-yenzvimbo yekuchengetedza, iyo inopa data inosunga kune chaiyo BPF inobata.
    • Iyo accounting yekurangarira kushandiswa nemapurogiramu eBPF yakagadziridzwa zvachose - cgroup controller yakatsanangurwa pachinzvimbo che memlock rlimit kubata ndangariro kushandiswa muBPF zvinhu.
    • Iyo BTF (BPF Type Format) maitiro, ayo anopa mhando yekutarisa ruzivo muBPF pseudocode, inopa rutsigiro rwekernel module.
    • Yakawedzerwa rutsigiro rwekuvhara (), renameat2 () uye unlinkat () system inofona kune io_uring asynchronous I/O interface. Pakufona io_uring_enter(), kugona kududzira nguva yekupera kwawedzerwa (unokwanisa kutarisa tsigiro yenharo kuti utaure nguva yekupera uchishandisa iyo IORING_FEAT_EXT_ARG mureza).
    • Iyo ia64 dhizaini inoshandiswa muIntel Itanium processors yaendeswa kuchikamu chenherera, zvinoreva kuti kuyedzwa kwapera. Hewlett Packard Enterprise yakamira kugamuchira maodha emidziyo mitsva yeItanium, uye Intel akazviita gore rapfuura.
    • Tsigiro yemasisitimu yakavakirwa paMicroBlaze architecture isingasanganisire memory management unit (MMU) yakamiswa. Maitiro akadaro haana kuonekwa muhupenyu hwezuva nezuva kwenguva yakareba.
    • Kune iyo MIPS yekuvaka, tsigiro yekodhi yekuvhara bvunzo yakawedzerwa uchishandisa gov utility.
    • Yakawedzerwa rutsigiro rweiyo chaiyo yekubatsira bhazi rekupindirana neakawanda-function zvishandiso zvinosanganisa kushanda kunoda madhiraivha akasiyana (semuenzaniso, network makadhi ane Ethernet uye RDMA rutsigiro). Bhazi rinogona kushandiswa kugovera mutyairi wekutanga uye wechipiri kune mudziyo, mumamiriro ezvinhu apo kushandiswa kweMFD (Multi-Function Devices) subsystem kune dambudziko.
    • Kune iyo RISC-V dhizaini, rutsigiro rwakawedzerwa kune CMA (Contiguous Memory Allocator) memory allocation system, iyo yakagadziridzwa yekugovera yakakura inobatika ndangariro nzvimbo uchishandisa yekuyeuka peji yekufamba matekiniki. Kune RISC-V, maturusi anoshandiswawo kudzikamisa kupinda ku/dev/mem uye funga nezvekukanganisa nguva yekugadzirisa.
    • Kune 32-bit ARM masisitimu, rutsigiro rwakawedzerwa kune KASan (Kernel kero sanitizer) debugging chishandiso, iyo inobatsira kuona zvikanganiso kana uchishanda nendangariro. Kune 64-bit ARM, iyo KASan kuita yakashandurwa kuti ishandise MTE tags (MemTag).
    • Yakawedzerwa epoll_pwait2() system call kubvumidza nguva yekubuda nenanosecond chaiyo (epoll_wait call manipulates milliseconds).
    • Iyo yekuvaka sisitimu ikozvino inoratidza chikanganiso paunenge uchiedza kuvaka inotakurika kernel module umo iyo kodhi rezenisi isina kutsanangurwa uchishandisa iyo MODULE_LICENSE () macro. Kubva zvino zvichienda mberi, kushandisa iyo EXPORT_SYMBOL() macro kune static mabasa kuchakonzerawo kukanganisa kwekuvaka.
    • Yakawedzerwa rutsigiro rwekugadzira mepu zvinhu zveGEM kubva mundangariro yakashandiswa I/O, izvo zvakaita kuti ikurumidze kukurumidza kushanda nefurebuffer pane zvimwe zvivakwa.
    • Kconfig yakadonhedza rutsigiro rweQt4 (ichiri kuchengetedza rutsigiro rweQt5, GTK uye Ncurses).
  • Virtualization uye Chengetedzo
    • Tsigiro yekukurumidza kupindura modhi yakawedzerwa kune iyo seccomp () system yekufona, iyo inokutendera iwe kuti uone nekukurumidza kuona kana imwe system yekufona ichibvumidzwa kana kurambidzwa zvichibva pane inogara-chiito bitmap yakasungirirwa pakuita, iyo isingade kumhanya. mubati weBPF.
    • Yakabatanidzwa kernel zvikamu zvekugadzira uye kugadzirisa enclaves yakavakirwa paIntel SGX (Software Guard eXtensions) tekinoroji, iyo inobvumira maapplication kuita kodhi munzvimbo dzakasarudzika dzakavharirwa dzendangariro, uko iyo yese system ine zvishoma kuwana.
    • Sechikamu chedanho rekudzikamisa kupinda kubva munzvimbo yemushandisi kuenda kuMSR (model-specific register), tichinyorera kuMSR_IA32_ENERGY_PERF_BIAS rejista, iyo inokutendera kuti uchinje processor simba rekushandisa modhi ("zvakajairika", "performance", "powersave") , zvinorambidzwa.
    • Iko kugona kudzima kutama kwemabasa ekutanga-ekutanga pakati peCPUs kwabviswa kubva kukernel-rt bazi kune chaiyo-nguva masisitimu.
    • Kune ma ARM64 masisitimu, kugona kushandisa MTE tags (MemTag, Memory Tagging Extension) yechiratidzo inobata memory kero yakawedzerwa. Iko kushandiswa kweMTE kunogoneswa nekutsanangura iyo SA_EXPOSE_TAGBITS sarudzo mu sigaction() uye inobvumidza iwe kuti utarise mashandisirwo chaiwo eanongedzo kuvharidzira kushandiswa kwekusagadzikana kunokonzerwa nekuwana ndangariro dzakasunungurwa kare, buffer mafashama, kupinda isati yatanga, uye kushandisa kunze mamiriro azvino.
    • Yakawedzera "DM_VERITY_VERIFY_ROOTHASH_SIG_SECONDARY_KEYRING" parameter, inobvumira iyo dm-verity subsystem kuti itarise masiginecha ezvitupa zvakaiswa mukiyi yechipiri. Mukuita, iyo setup inobvumidza iwe kuti uone kwete chete zvitupa zvakavakwa mukernel, asiwo zvitupa zvakatakurwa panguva yekushanda, izvo zvinoita kuti zvikwanise kugadzirisa zvitupa pasina kugadzirisa kernel yese.
    • Mushandisi-modhi Linux yakawedzera tsigiro yekumisa-ku-kuita-isimbe modhi, iyo inokutendera iwe kuomesa nharaunda uye shandisa iyo SIGUSR1 chiratidzo kumuka kubva mukurara.
    • Iyo virtio-mem michina, iyo inokutendera iwe kupisa-plug uye kubvisa ndangariro kumakina chaiwo, yakawedzera rutsigiro rweBig Block Mode (BBM), iyo inoita kuti ikwanise kuendesa kana kutora ndangariro mumabhuraki akakura kupfuura saizi yekernel memory. block, izvo zvinodiwa kukwirisa VFIO muQEMU.
    • Tsigiro yeCHACHA20-POLY1305 cipher yakawedzerwa kune kernel kuita kweTLS.
  • Network subsystem
    • Kune 802.1Q (VLAN), nzira yekubatanidza kutadza manejimendi (CFM, Connectivity Fault Management) yaitwa, iyo inobvumidza iwe kuziva, kuona uye kutsaura kutadza mumatiweki ane chaiwo mabhiriji (Virtual Bridged Networks). Semuenzaniso, CFM inogona kushandiswa kupatsanura matambudziko mumatiweki anotora masangano akazvimirira akawanda ane vashandi vanongokwanisa kuwana midziyo yavo.
    • Yakawedzerwa rutsigiro rwe encapsulating SCTP protocol mapaketi mumapaketi eUDP (RFC 6951), iyo inokutendera kuti ushandise SCTP pamanetiweki ane ekare vaturikiri vekero vasingatsigire SCTP zvakananga, pamwe nekushandisa SCTP pane masisitimu asingapi mukana wakananga kuIP. layer.
    • Kuitwa kweWiMAX tekinoroji yakaendeswa kune staging uye yakarongerwa kubviswa mune ramangwana kana pasina vashandisi vanoda WiMAX. WiMAX haichashandiswi mumanetwork eruzhinji, uye mukernel mutyairi chete anogona kushandiswa neWiMAX ndiye mutyairi weIntel 2400m wekare. Tsigiro yeWiMAX yakamiswa muNetworkManager network configurator muna 2015. Parizvino, WiMax inenge yakatsiviwa zvachose nehunyanzvi hwakadai seLTE, HSPA+ uye Wi-Fi 802.11n.
    • Basa rakaitwa kuti riwedzere kushanda kwekugadzirisa inouya TCP traffic mu zerocopy mode, i.e. pasina kuwedzera kukopa kune itsva buffers. Kumota dzepakati nepakati, kuvhara makumi kana mazana emakirobhayiti edata, kushandisa zerocopy pachinzvimbo cherecvmsg() kunonyanya kushanda. Semuenzaniso, shanduko dzakaitwa dzakaita kuti zvikwanise kuwedzera kushanda kweRPC-style traffic ine 32 KB meseji kana uchishandisa zerocopy ne60-70%.
    • Yakawedzera itsva ioctl() mafoni kugadzira mabhiriji etiweki anotenderera akawanda PPP link. Kugona kwakatsanangurwa kunobvumira mafuremu kufamba kubva kune imwe chiteshi kuenda kune imwe, semuenzaniso kubva kuPPPoE kuenda kumusangano wePPPoL2TP.
    • Kubatanidzwa mukati memusimboti weMPTCP (MultiPath TCP), kuwedzera kweTCP protocol yekuronga kushanda kweTCP yekubatanidza nekuendeswa kwemapaketi panguva imwe chete munzira dzinoverengeka kuburikidza netiweki interfaces dzakasiyana dzine chekuita neakasiyana IP kero. Kuburitswa kutsva kunounza rutsigiro rweiyo ADD_ADDR sarudzo yekushambadza inowanikwa IP kero inogona kubatana nayo paunenge uchiwedzera kuyerera kutsva kune iripo MPTCP yekubatanidza.
    • Yakawedzera kugona kugadzirisa zviito kana bhajeti yekubatanidza yekuvhota yapfuudzwa (yakabatikana-kuvhota). Iyo yaimbove iripo SO_BUSY_POLL modhi yaireva kuchinja kune softirq kana bhajeti rapera. Pazvishandiso zvinoda kuramba zvichishandisa kuvhota, sarudzo itsva SO_PREFER_BUSY_POLL inopihwa.
    • IPv6 inoshandisa tsigiro yemamodhi eSRv6 End.DT4 uye End.DT6, anoshandiswa kugadzira vashandisi vakawanda IPv4 L3 VPNs neVRF (Virtual routing and forwarding) zvishandiso.
    • Netfilter yakabatanidza kushandiswa kwemaseti ekutaura, izvo zvakaita kuti zvikwanise kudoma akawanda mataurirwo echimwe chinhu cheseti rondedzero.
    • MaAPI akawedzerwa kune 802.11 isina waya stack kugadzirisa SAR masimba emagetsi, pamwe neAE PWE uye HE MCS paramita. Mutyairi weIntel iwlwifi akawedzera rutsigiro rwe6GHz (Ultra High Band) renji. Mutyairi weQualcomm Ath11k akawedzera tsigiro yeFILS (Fast Initial Link Setup, yakamisikidzwa seIEEE 802.11ai) tekinoroji, iyo inokutendera kuti ubvise kunonoka kutenderera panguva yekufamba kubva pane imwe nzvimbo kuenda kune imwe.
  • Zvigadzirwa
    • Mutyairi weamdgpu anopa rutsigiro rwe AMD "Green Sardine" APU (Ryzen 5000) uye "Dimgrey Cavefish" GPU (Navi 2), pamwe nerutsigiro rwekutanga rwe AMD Van Gogh APU ine Zen 2 core uye RDNA 2 GPU (Navi 2). Yakawedzerwa rutsigiro rwezvitsva zveRenoir APU zviziviso (zvichibva paZen 2 CPU uye Vega GPU).
    • Iyo i915 mutyairi weIntel vhidhiyo makadhi inotsigira IS (Integer scaling) tekinoroji nekushandiswa kwesefa yekuwedzera chiyero uchifunga nezvemamiriro emapikisheni evavakidzani (Nearest-muvakidzani kududzira) kuona ruvara rwemapikisesi asipo. Tsigiro yedhisiki Intel DG1 makadhi yakawedzerwa. Tsigiro ye "Big Joiner" tekinoroji yakaitwa, yave iripo kubvira Ice Lake / Gen11 machipisi uye inobvumira kushandiswa kwetranscoder imwe kugadzirisa hova mbiri, semuenzaniso, yekubuda kune 8K skrini kuburikidza neDisplayPort imwe. Yakawedzera modhi yekuchinjisa asynchronously pakati pemabhafa maviri muvhidhiyo memory (async flip).
    • Mutyairi weNouveau akawedzera rutsigiro rwekutanga rweNVIDIA GPUs zvichibva paAmpere microarchitecture (GA100, GeForce RTX 30xx), kusvika parizvino yakaganhurirwa kune zvishandiso zvekudzora vhidhiyo modhi.
    • Yakawedzerwa rutsigiro rweiyo 3WIRE protocol inoshandiswa muLCD mapaneru. Yakawedzerwa rutsigiro rwe novatek nt36672a, TDO tl070wsh30, Innolux N125HCE-GN1 uye ABT Y030XX067A 3.0 mapaneru. Takaparadzana, isu tinogona kucherechedza kutsigirwa kwepaneru yeOnePlus 6 uye 6T mafoni, izvo zvakaita kuti zvikwanise kuronga kurodha kweiyo isina kuchinjwa kernel pamidziyo.
    • Yakawedzerwa rutsigiro rweIntel yekutanga discrete USB4 host controller, Maple Ridge.
    • Yakawedzerwa rutsigiro rweAllwinner H6 I2S, Analog Devices ADAU1372, Intel Alderlake-S, GMediatek MT8192, NXP i.MX HDMI uye XCVR, Realtek RT715 uye Qualcomm SM8250 audio codecs.
    • Yakawedzerwa tsigiro yemabhodhi eARM, zvishandiso uye mapuratifomu: Galaxy Note 10.1, Microsoft Lumia 950 XL, NanoPi R1, FriendlyArm ZeroPi, Elimo Initium SBC, Broadcom BCM4908, Mediatek MT8192/MT6779/MT8167, MStar Infinity2M 730PC382M 98P3236 Arm 750, Arm 8 Nuvo64, Arm 30 NuvoXNUMX, XNUMX. Mikrotik yakavakirwa paMarvell Prestera XNUMXDXXNUMX, maseva ane Nuvoton NPCMXNUMX BMC, Kontron i.MXXNUMXM Mini, Espressobin Ultra, β€œTrogdor” Chromebook, Kobol HeliosXNUMX, Engicam PXXNUMX.Core.
    • Yakavakirwa-mukati tsigiro yeOuya yemitambo console yakavakirwa paNVIDIA Tegra 3.

Panguva imwecheteyo, iyo Latin America Yemahara Software Foundation yakagadzira vhezheni yemahara zvachose 5.11 kernel - Linux-libre 5.11-gnu, yakacheneswa zvinhu zve firmware uye madhiraivha ane asiri emahara zvikamu kana kodhi zvikamu, chiyero chayo chishoma. nemugadziri. Kuburitswa kutsva kunochenesa vatyairi veqat_4xxx (crypto), lt9611uxcm (dsi/hdmi bhiriji), ccs/smia++ (sensor), ath11k_pci, nxp audio transceiver uye mhi pci controller. Yakagadziridzwa blob yekuchenesa kodhi mumadhiraivha uye subsystems amdgpu, btqca, btrtl, btsb, i915 csr. Yakaremara mabhurobhu matsva mum3 rproc, idt82p33 ptp wachi uye qualcomm arm64.

Source: opennet.ru

Voeg