Linux 5.15 kernel kuburitswa

Mushure memwedzi miviri yebudiriro, Linus Torvalds akapa kuburitswa kweLinux kernel 5.15. Shanduko dzinozivikanwa dzinosanganisira: mutyairi mutsva weNTFS ane rutsigiro rwekunyora, ksmbd module ine SMB server kuisirwa, DAMON subsystem yekuyeuka kuwana yekutarisa, chaiyo-nguva yekuvhara primitives, fs-verity rutsigiro muBtrfs, process_mrelease system yekufona kune nzara yekupindura masisitimu ndangariro, kure certification module. dm-ima.

Iyo itsva vhezheni inosanganisira 13499 inogadzirisa kubva kune 1888 vanogadzira, iyo chigamba saizi i42 MB (shanduko dzakakanganisa 10895 mafaera, 632522 mitsetse yekodhi yakawedzerwa, 299966 mitsetse yakabviswa). Inenge 45% yeshanduko dzese dzakaunzwa mu5.15 dzine hukama nemadhiraivha emidziyo, ingangoita 14% yeshanduko ine chekuita nekuvandudza kodhi yakanangana nehardware architecture, 14% ine hukama netiweki stack, 6% ine hukama nemafaira masisitimu, uye 3% zvine hukama nemukati kernel subsystems.

Zvitsva zvikuru:

  • Disk Subsystem, I/O uye File Systems
    • Iyo kernel yakagamuchira kutsva kwekuita kweNTFS faira system, yakavhurwa neParagon Software. Mutyairi mutsva anogona kushanda mukunyora modhi uye anotsigira ese maficha eiyozvino vhezheni yeNTFS 3.1, kusanganisira yakawedzera faira hunhu, kuwana rondedzero (ACLs), data compression mode, basa rinoshanda rine nzvimbo dzisina chinhu mumafaira (sparse) uye kudzokorora shanduko kubva. iyo log yekudzorera kuvimbika mushure mekukundikana.
    • Iyo Btrfs faira system inotsigira iyo fs-verity mechanism, iyo inoshandiswa kudzora pachena kuvimbika uye kutendeseka kwemafaira ega ega uchishandisa cryptographic hashes kana makiyi ane chekuita nemafaira, akachengetwa munzvimbo yemetadata. Pakutanga, fs-verity yaingowanikwa kune Ext4 uye F2fs faira masisitimu.

      Btrfs inowedzerawo tsigiro yemepu yevashandisi ID yeakakwidzwa faira masisitimu (yaimbotsigirwa neFAT, ext4 uye XFS faira masisitimu). Ichi chimiro chinokutendera kuti uenzanise mafaera emumwe mushandisi pane yakamisikidzwa yekunze chikamu nemumwe mushandisi pane yazvino system.

      Dzimwe shanduko kuBtrfs dzinosanganisira: kukurumidza kuwedzera makiyi kune dhairekitori index kuti uvandudze faira rekugadzira kuita; kugona kushanda raid0 nemudziyo mumwe, uye raid10 nembiri (semuenzaniso, panguva yekugadzirisa zvakare hurongwa); sarudzo "recue=ibadroots" kufuratira muti usiri iwoyo; kukurumidza kwe "kutumira" kushanda; kuderedzwa kwemakakatanwa ekuvhara panguva yekushandurazve mabasa; kugona kushandisa 4K zvikamu pane masisitimu ane 64K ndangariro peji saizi.

    • MuXFS, kugona kushandisa misi mushure me2038 mune yefaira system yakagadziriswa. Yakaitwa nzira yekunonoka inode deactivation uye tsigiro yekunonoka kuisirwa uye kubviswa kwefaira hunhu. Kuti ubvise matambudziko, kugona kudzima dhisiki quotas yeakatomisikidzwa partitions akabviswa (unogona kudzima nechisimba quotas, asi kuverenga kwakabatana navo kunoenderera, saka kukwidziridzwa kunodiwa kuti uzvidzivise zvizere).
    • MuEXT4, basa rakaitwa kuwedzera kushanda kwekunyora delalloc buffers uye kugadzirisa mafaira enherera anoramba aripo nekuda kwekuti anoramba akavhurika, asi asingabatanidzi nedhairekitori. Kugadziriswa kwekurasa mabasa kwabviswa kunze kwe jbd2 kthread thread kudzivirira kuvharira mabasa nemetadata.
    • F2FS yakawedzera iyo "discard_unit=block|segment|section" sarudzo yekusunga mashandiro ekurasa (kumaka mabhuroko akasunungurwa anogona kunge asisachengetwe nemuviri) kune kurongeka kune bhuroko, chikamu, chikamu kana chikamu. Yakawedzerwa rutsigiro rwekutevera shanduko muI/O latency.
    • Iyo EROFS (Extendable Read-Only File System) faira system inowedzera yakananga I/O rutsigiro rwemafaira akachengetwa pasina kudzvanywa, pamwe nerutsigiro rwefiemap.
    • OverlayFS inoshandisa kubata kwakaringana kwe "isingachinjiki", "append-chete", "sync" uye "noatime" mireza yekumisa.
    • NFS yakavandudza kubata kwemamiriro ezvinhu apo sevha yeNFS inomira kupindura zvikumbiro. Yakawedzera kugona kukwira kubva kune server yave kushandiswa, asi inowanikwa kuburikidza nekero yetiweki yakasiyana.
    • Gadziriro dzatanga kunyorazve FSCACHE subsystem.
    • Yakawedzerwa rutsigiro rweEFI partitions ine isiri-yakajairwa kuiswa kwematafura eGPT.
    • Iyo fanotify nzira inoshandisa mureza mutsva, FAN_REPORT_PIDFD, izvo zvinoita kuti pidfd ibatanidzwe mune metadata yakadzoswa. Pidfd inobatsira kubata PID kushandiswazve mamiriro ekuona nenzira kwayo nzira dzekuwana mafaera akatariswa (pidfd inosanganisirwa neimwe nzira uye haichinji, nepo PID inogona kubatanidzwa neimwe nzira mushure mekuita kwazvino kunobatanidzwa neiyo PID kuguma).
    • Yakawedzera kugona kwekuwedzera mapoinzi kumapoka aripo akagovaniswa kune move_mount () system call, iyo inogadzirisa matambudziko nekuchengetedza uye kudzoreredza maitiro muCRIU kana paine nzvimbo dzakawanda dzegomo dzakagovaniswa mumidziyo yakasarudzika.
    • Yakawedzerwa dziviriro kubva kune yakavanzika nhangemutange mamiriro ayo anogona kukonzera huwori hwefaira paunenge uchiverenga cache uchigadzira voids mufaira.
    • Tsigiro yekumanikidza (inosungirwa) kukiya faira, inoshandiswa kuburikidza nekuvharira masisitimu mafoni anotungamira kune shanduko yefaira, yakamiswa. Nekuda kwemamiriro angangoita erudzi, kiyi idzi dzaionekwa sedzisina kuvimbika uye dzakaraswa makore mazhinji apfuura.
    • Iyo LightNVM subsystem yakabviswa, iyo yakabvumira kupinda zvakananga kune SSD drive, ichipfuura emulation layer. LightNVM yakarasa zvazvinoreva mushure mekuuya kweNVMe zviyero zvinopa nzvimbo (ZNS, Zoned Namespace).
  • Memory uye system masevhisi
    • Iyo DAMON (Data Access MONitor) subsystem yakashandiswa, ichikubvumidza kuti utarise chiitiko chine chekuita nekuwana data muRAM maererano nemaitiro akasarudzwa anomhanya munzvimbo yemushandisi. Iyo subsystem inobvumidza iwe kuti uongorore kuti ndedzipi nzvimbo dzekurangarira maitiro anowanikwa panguva yekushanda kwayo kwese, uye ndedzipi nzvimbo dzekurangarira dzakaramba dzisina kutaurwa. DAMON inoratidzira yakaderera CPU mutoro, yakaderera ndangariro kushandiswa, yakanyanya kurongeka uye inofanotaurwa inogara iri pamusoro, yakazvimiririra nehukuru. Iyo subsystem inogona kushandiswa zvese ne kernel kukwirisa ndangariro manejimendi, uye nezvishandiso munzvimbo yemushandisi kuti unzwisise kuti chii chaizvo chiri kuitwa nekuita uye kukwidziridza kushandiswa kwendangariro, semuenzaniso, kusunungura yakawandisa ndangariro kune sisitimu.
    • Iyo process_mrelease system yekufona yaitwa kuti ikurumidze kuburitsa ndangariro yemaitiro anopedza kuita kwayo. Mumamiriro ezvinhu akajairwa, kuburitswa kwechishandiso uye kumisa maitiro hakusi pakarepo uye kunogona kunonoka nekuda kwezvikonzero zvakasiyana, kukanganisa mushandisi-nzvimbo yekurangarira yekutanga mhinduro masisitimu akadai seoomd (inopihwa nesystemd) uye lmkd (inoshandiswa neAroid). Nekufona process_mrelease, masisitimu akadaro anogona kufanofungidzira kukonzeresa kudzoreredza ndangariro kubva kumaitiro ekumanikidzwa.
    • Kubva kubazi rePREEMPT_RT kernel, iro rinogadzira rutsigiro rwekushanda-chaiyo-nguva, akasiyana ekutanga ekugadzirisa makiyi mutex, ww_mutex, rw_semaphore, spinlock uye rwlock, zvichibva pane RT-Mutex subsystem, zvakatamiswa. Shanduko dzawedzerwa kuSLUB slab allocator kuti uvandudze mashandiro muPREEMPT_RT modhi uye kuderedza kukanganiswa kwekukanganisa.
    • Tsigiro ye SCHED_IDLE basa scheduler hunhu yawedzerwa kucgroup, zvichikubvumidza kuti upe hunhu uhu kune ese maitiro eboka anosanganisirwa mune chaiyo cgroup. Avo. maitiro aya anongomhanya chete kana pasina mamwe mabasa akamirira kuitwa pahurongwa. Kusiyana nekuseta SCHED_IDLE hunhu kumaitiro ega ega, kana uchisunga SCHED_IDLE kuboka, huremu hwemabasa mukati meboka hunocherechedzwa pakusarudza basa rekuita.
    • Iyo nzira yekuverengera yekurangarira kushandiswa mucgroup yakawedzerwa nekugona kuteedzera mamwe kernel data zvimiro, kusanganisira izvo zvakagadzirirwa kuvhota, chiratidzo chekugadzirisa uye mazita.
    • Yakawedzerwa rutsigiro rweasymmetric kuronga kwebasa rinosunga kune processor cores pazvivakwa umo mamwe maCPU anobvumidza kuitwa kwe32-bit mabasa, uye mamwe anoshanda chete mu64-bit modhi (semuenzaniso, ARM). Iyo nyowani modhi inokubvumira kuti utarise chete maCPU anotsigira 32-bit mabasa paunenge uchironga 32-bit mabasa.
    • Iyo io_uring asynchronous I/O interface ikozvino inotsigira kuvhura mafaera zvakananga mune yakatarwa-faira index tafura, pasina kushandisa faira descriptor, izvo zvinoita kuti zvikwanise kukurumidzira zvakanyanya mamwe marudzi ekushanda, asi zvichipesana neyakajairwa Unix maitiro ekushandisa faira descriptors. kuvhura mafaira.

      io_uring yeBIO (Block I/O Layer) subsystem inoshandisa nzira itsva yekudzokorodza ("BIO recycling"), iyo inoderedza kumusoro mukugadzirisa ndangariro yemukati uye inowedzera huwandu hweakagadziriswa I/O mashandiro pasekondi neanenge gumi muzana. . io_uring inowedzerawo rutsigiro rwemkdirat(), symlinkat() uye linkat() system mafoni.

    • Kuzvirongwa zveBPF, kugona kukumbira uye kugadzirisa zviitiko zvenguva kwaitwa. Iyo iterator yeUNIX sockets yawedzerwa, uye kugona kuwana uye kuseta socket sarudzo dze setsockopt kwaitwa. BTF dumper ikozvino inotsigira data yakanyorwa.
    • PaNUMA masisitimu ane mhando dzakasiyana dzendangariro dzinosiyana mukuita, kana nzvimbo yemahara yapera, mapeji endangariro anodzingwa anotamiswa kubva kune dynamic memory (DRAM) kuenda kunononoka kunogara ndangariro (Persistent Memory) pachinzvimbo chekudzima aya mapeji. Miedzo yakaratidza kuti matekiniki akadaro anowanzo vandudza mashandiro pane akadaro masisitimu. NUMA inopawo kugona kugovera mapeji ekurangarira maitiro kubva kune yakasarudzwa seti yeNUMA node.
    • Kune iyo ARC yekuvaka, rutsigiro rwematatu- uye mana-nhanho mapeji matafura matafura aitwa, izvo zvinozogonesa rutsigiro rwe64-bit ARC processors.
    • Kune iyo s390 architecture, kugona kushandisa iyo KFENCE michina yekuona zvikanganiso kana uchishanda nendangariro yaitwa, uye tsigiro yeKCSAN nhangemutange mamiriro detector yakawedzerwa.
    • Yakawedzera tsigiro yekunongedza rondedzero yemeseji inobuda kuburikidza neprintk (), ichikubvumidza kuti utore ese mameseji akadaro kamwechete uye nekutevera shanduko munzvimbo yemushandisi.
    • mmap () yakabvisa tsigiro yeVM_DENYWRITE sarudzo, uye kernel kodhi yakabviswa pakushandisa MAP_DENYWRITE modhi, iyo yakadzikisa huwandu hwemamiriro ezvinhu anotungamira kuvharika kwekunyora kufaira ine ETXTBSY kukanganisa.
    • Rudzi rutsva rwecheki, "Chiitiko probes," yakawedzerwa kune yekutevera subsystem, iyo inogona kusungirirwa kune iripo yekutevera zviitiko, ichitsanangura yako yekubuda fomati.
    • Kana uchivaka kernel uchishandisa iyo Clang compiler, iyo default assembler kubva kuLLVM chirongwa chave kushandiswa.
    • Sechikamu chepurojekiti yekubvisa kernel yekodhi inotungamira kune yambiro kuburitswa nemuunganidzi, kuyedza kwakaitwa neiyo "-Werror" modhi inogoneswa nekusarudzika, umo yambiro yemubatanidzwa inogadziriswa sezvikanganiso. Mukugadzirira kusunungurwa kwe5.15, Linus akatanga kugamuchira shanduko chete dzisina kukonzera nyevero pakuvaka kernel uye yakagonesa chivako ne "-Werror", asi akazobvumirana kuti sarudzo yakadaro yakanga isati yasvika uye yakanonoka kugonesa "-Werror" nekutadza. . Kuiswa kwemureza we "-Werror" panguva yegungano kunodzorwa uchishandisa WERROR parameter, iyo inoiswa kuCOMPILE_TEST nekusarudzika, i.e. Parizvino inongogoneswa kune test kuvaka.
  • Virtualization uye Chengetedzo
    • Iyo nyowani dm-ima inobata yakawedzerwa kuChishandiso Mapper (DM) nekuitwa kwechitupa chitupa nzira yakavakirwa paIMA (Integrity Measurement Architecture) subsystem, iyo inobvumira sevhisi yekunze kuti ione mamiriro ekernel subsystems kuti ive nechokwadi. . Mukuita, dm-ima inokutendera iwe kuti ugadzire zvichengetedzo uchishandisa Device Mapper iyo yakabatana kune ekunze makore masisitimu, umo kutendeseka kweiyo yakatangwa DM chinangwa chekugadzirisa inotariswa uchishandisa IMA.
    • prctl() inoshandisa sarudzo nyowani PR_SPEC_L1D_FLUSH, iyo kana yagoneswa, inoita kuti kernel ibvise zviri mukati mechikamu chekutanga (L1D) cache pese panoitika shanduko yemamiriro. Iyi modhi inobvumira, yakasarudzika kune akanyanya kukosha maitiro, kuita yekuwedzera dziviriro kubva pakushandiswa kwepadivi-chiteshi kurwisa kunoitwa kuona data rakagara mucache nekuda kwekusagadzikana kunokonzerwa nekufungidzira kuurayiwa kwemirairo muCPU. Mutengo wekugonesa PR_SPEC_L1D_FLUSH (isina kugoneswa nekusarudzika) murango wakakosha wekuita.
    • Zvinokwanisika kuvaka kernel nekuwedzera kwe "-fzero-call-used-regs=used-gpr" mureza kuGCC, iyo inova nechokwadi chokuti marejista ose anodzorerwa kune zero asati adzorera kutonga kubva mubasa. Iyi sarudzo inobvumidza iwe kudzivirira kubva kune ruzivo rwekuburitswa kubva kumabasa uye kuderedza ne20% nhamba yezvivharo zvakakodzera kuvaka ROP (Return-Oriented Programming) gadget mune zvekushandisa.
    • Iko kugona kuvaka kernels yeArM64 architecture muchimiro chevatengi veHyper-V hypervisor yaitwa.
    • Iyo nyowani yekuvandudza mutyairi "VDUSE" inokurudzirwa, iyo inobvumira kuita chaiwo block zvishandiso munzvimbo yevashandisi uye kushandisa Virtio seyekufambisa yekuwana kubva kune yevaenzi masisitimu.
    • Yakawedzera Virtio mutyairi webhazi reI2C, zvichiita kuti zvikwanise kutevedzera maI2C controllers mune paravirtualization mode uchishandisa akaparadzana kumashure.
    • Yakawedzera Virtio mutyairi gpio-virtio kubvumira vashanyi kuwana GPIO mitsetse inopihwa neiyo host system.
    • Yakawedzera kugona kurambidza kupinda kumapeji ekurangarira kune vatyairi vemidziyo vane DMA rutsigiro pane masisitimu asina I/O MMU (memory-management unit).
    • Iyo KVM hypervisor ine kugona kuratidza nhamba muchimiro chemutsara uye logarithmic histograms.
  • Network subsystem
    • Iyo ksmbd module yakawedzerwa kune kernel nekuitwa kwefaira server uchishandisa iyo SMB3 protocol. Iyo module inozadzisa iyo SMB mutengi kuita yakambowanikwa mu kernel uye, kusiyana neSMB server inomhanya munzvimbo yevashandisi, inoshanda zvakanyanya maererano nekuita, ndangariro yekushandisa uye kubatanidzwa nepamusoro kernel kugona. Ksmbd inopihwa seyepamusoro-inoshanda, yakamisikidzwa-yakagadzirira Samba yekuwedzera iyo inobatanidza neSamba maturusi nemaraibhurari sezvinodiwa. Kugona kwe ksmbd kunosanganisira kukwidziridzwa kwerutsigiro rwekugovera faira caching tekinoroji (SMB leases) pamasisitimu emuno, ayo anogona kuderedza zvakanyanya traffic. Mune ramangwana, vanoronga kuwedzera rutsigiro rweRDMA ("smbdirect") uye maprotocol ekuwedzera ane chekuita nekuwedzera kuvimbika kwekunyorera uye kusimbiswa vachishandisa siginecha yedhijitari.
    • Mutengi weCIFS haachatsigire NTLM uye iyo isina kusimba yeDES-based authentication algorithms inoshandiswa muSMB1 protocol.
    • Multicast rutsigiro runoitwa mukuitwa kwenetwork mabhiriji evlans.
    • Mutyairi wekubatanidza, anoshandiswa kuunganidza network interfaces, akawedzera tsigiro yeXDP (eXpress Data Path) subsystem, iyo inokutendera iwe kuti ushandise network mapaketi pachinhanho asati agadziriswa neLinux kernel network stack.
    • Iyo mac80211 isina waya stack inotsigira 6GHZ STA (Special Temporary Authorization) muLPI, SP uye VLP modhi, pamwe nekugona kuseta yega TWT (Target Wake Nguva) mune yekuwana nzira.
    • Yakawedzera tsigiro yeMCTP (Management Component Transport Protocol), inoshandiswa pakudyidzana pakati pemanejimendi controllers nemidziyo yakabatana (host processors, peripheral devices, etc.).
    • Kubatanidzwa mukati memusimboti weMPTCP (MultiPath TCP), kuwedzera kweTCP protocol yekuronga kushanda kweTCP yekubatanidza nekuendeswa kwemapaketi panguva imwe chete munzira dzinoverengeka kuburikidza netiweki interfaces dzakasiyana dzinosangana neakasiyana IP kero. Kuburitswa kutsva kunowedzera rutsigiro rwekero mune yakazaramesh modhi.
    • Mabatiro etiweki hova akavharirwa muSRv6 (Segment Routing IPv6) protocol akawedzerwa kune netfilter.
    • Yakawedzera sockmap rutsigiro rweUnix yekushambadzira masokisi.
  • Zvigadzirwa
    • Mutyairi weamdgpu anotsigira Cyan Skillfish APUs (akashongedzerwa neNavi 1x GPUs). Iyo Yellow Carp APU ikozvino inotsigira vhidhiyo macodecs. Yakavandudzwa Aldebaran GPU rutsigiro. Yakawedzera mitsva yemepu identifiers yakavakirwa paGPU Navi 24 "Beige Goby" uye RDNA2. Kuvandudzwa kwekushandiswa kweiyo virtual skrini (VKMS) inokurudzirwa. Tsigiro yekutarisa tembiricha ye AMD Zen 3 machipisi yaitwa.
    • Iyo amdkfd mutyairi (ye discrete GPUs, sePolaris) inoshandisa yakagovaniswa virtual memory maneja (SVM, yakagovaniswa ndangariro) yakavakirwa paHMM (Heterogeneous memory management) subsystem, iyo inobvumira kushandiswa kwemidziyo ine yavo yekurangarira manejimendi zvikamu (MMU). , memory management unit), inogona kuwana main memory. Kunyanya, uchishandisa HMM, unogona kuronga nzvimbo yekero yakagovaniswa pakati peGPU neCPU, umo iyo GPU inogona kuwana iyo huru yekurangarira maitiro.
    • Iyo i915 mutyairi weIntel vhidhiyo makadhi inowedzera kushandiswa kweTTM vhidhiyo yekurangarira maneja uye inosanganisira kugona kubata simba rekushandisa zvichibva paGuC (Graphics micro Controller). Gadziriro dzakatanga kuita kwerutsigiro rweIntel ARC Alchemist graphics kadhi uye Intel Xe-HP GPU.
    • Mutyairi weNouveau anoshandisa backlight control kune eDP mapaneru achishandisa DPCD (DisplayPort Configuration Data).
    • Yakawedzerwa rutsigiro rweAdreno 7c Gen 3 uye Adreno 680 GPUs kumutyairi wemsm.
    • Mutyairi weIOMMU anoshandisirwa Apple M1 chip.
    • Yakawedzera ruzha mutyairi wemasisitimu akavakirwa pa AMD Van Gogh APUs.
    • Mutyairi weRealtek R8188EU akawedzerwa kubazi rekuita, iro rakatsiva yekare vhezheni yemutyairi (rtl8188eu) yeRealtek RTL8188EU 802.11 b/g/n machipisi asina waya.
    • Iyo ocp_pt mutyairi inosanganisirwa yePCIe bhodhi yakagadziridzwa neMeta (Facebook) nekuitwa kwediki atomu wachi uye GNSS inogamuchira, inogona kushandiswa kuronga kushanda kweakaparadzana chaiyo nguva maseva ekuyananisa.
    • Yakawedzerwa rutsigiro rweSony Xperia 10II (Snapdragon 665), Xiaomi Redmi 2 (Snapdragon MSM8916), Samsung Galaxy S3 (Snapdragon MSM8226), Samsung Gavini/Codina/Kyle smartphones.
    • Yakawedzerwa tsigiro yeARM SoΠ‘ uye NVIDIA Jetson TX2 NX Developer Kit, Sancloud BBE Lite, PicoITX, DRC02, SolidRun SolidSense, SKOV i.MX6, Nitrogen8, Traverse Ten64, GW7902, Microchip SAMA7, ualcomm SDM636-Snapdragon SDM8150/SM3-Snapdragon SDM2/SM3 mapuranga -2G/M913e-2600G, Marvell CN4x, ASpeed ​​AST418 (Facebook Cloudripper, Elbert uye Fuji server boards), 2264KOpen STiHXNUMX-bXNUMX.
    • Yakawedzerwa tsigiro yeGopher 2b LCD mapaneru, EDT ETM0350G0DH6/ETMV570G2DHU, LOGIC Technologies LTTD800480070-L6WH-RT, Multi-Innotechnology MI1010AIT-1CP1, Innolux EJ030K3.0K9341K3300K33K20K7430K2401KXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXNA, Innolux EJXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXNA , Samsung ATNAXNUMXXCXNUMX XNUMX, Samsung DBXNUMX, WideChips WSXNUMX .
    • Yakawedzera LiteETH mutyairi ane rutsigiro rweEthernet controllers anoshandiswa muLiteX software SoCs (yeFPGAs).
    • Sarudzo ye lowlatency yakawedzerwa kune usb-audio mutyairi kudzora kuisirwa kwekushanda mune shoma latency mode. Yakawedzerawo quirk_flags sarudzo yekupfuudza-chaiwo marongero.

Panguva imwecheteyo, iyo Latin America Yemahara Software Foundation yakagadzira vhezheni yemahara zvachose kernel 5.15 - Linux-libre 5.15-gnu, yakacheneswa zvinhu zve firmware uye madhiraivha ane asiri emahara zvikamu kana kodhi zvikamu, chiyero chayo chishoma. nemugadziri. Kuburitswa kutsva kunoshandisa kubuda kwemeseji kune irogi nezve kupera kwekuchenesa. Matambudziko nekugadzira mapakeji uchishandisa mkspec akagadziriswa, kutsigirwa kwe snap mapakeji kwakagadziridzwa. Yakabvisa dzimwe yambiro dzakaratidzwa pakugadzirisa firmware.h header file. Inobvumirwa kubuda kwemamwe marudzi eyambiro ("format-extra-args", makomendi, mabasa asina kushandiswa uye zvinosiyana) paunenge uchivaka mu "-Werror" mode. Yakawedzera gehc-achc mutyairi kuchenesa. Yakagadziridzwa blob yekuchenesa kodhi mumadhiraivha uye subsystems adreno, btusb, btintel, brcmfmac, aarch64 qcom. Kucheneswa kwevatyairi prism54 (yakabviswa) uye rtl8188eu (yakatsiviwa ne r8188eu) yakamiswa.

Source: opennet.ru

Voeg