Linux 5.7 kernel kuburitswa

Mushure memwedzi miviri yebudiriro, Linus Torvalds kuunzwa kernel release Linux 5.7. Pakati pekuchinja kunonyanya kukosha: kutsva kutsva kweiyo exFAT faira system, bareudp module yekugadzira UDP tunnel, chengetedzo yakavakirwa pakusimbisa pointer yeARM64, kugona kubatanidza zvirongwa zveBPF kune vanobata LSM, kuita kutsva kweCurve25519, kupatsanurwa- lock detector, BPF kuenderana nePREEMPT_RT, kubvisa muganho pane 80-character mutsara saizi mukodhi, uchifunga nezveCPU tembiricha zviratidzo muchirongwa chebasa, kugona kushandisa clone () kubereka maitiro mune rimwe boka, kuchengetedzwa kubva pakunyora. kundangariro uchishandisa userfaultfd.

Iyo vhezheni nyowani inosanganisira gumi nemashanu,15033 zvigadziriso kubva 1961 vanogadzira,
saizi yechigamba - 39 MB (shanduko dzakakanganisa 11590 mafaera, yakawedzera 570560 mitsara yekodhi,
297401 mitsetse yakabviswa). Inenge 41% yezvose zvakaratidzwa mu5.7
shanduko dzine hukama nevatyairi vemidziyo, ingangoita 16% yekuchinja ndeye
mafungiro ekuvandudza kodhi yakananga kune hardware architectures, 13%
inoenderana netiweki stack, 4% kune mafaira masisitimu uye 4% kune yemukati
kernel subsystems.

chikuru zvitsva:

  • Disk Subsystem, I/O uye File Systems
    • Yakawedzera nyowani exFAT mutyairi kuita, nheyo zvichibva pane yazvino "sdfat" (2.x) kodhi base yakagadziriswa neSamsung kune yayo Android smartphones. Mutyairi akambowedzerwa kune kernel yaive yakavakirwa pane legacy Samsung kodhi (vhezheni 1.2.9) uye yaive ingangoita gumi muzana kumashure kwemutyairi mutsva mukuita. Ngatiyeukei kuti kuwedzera exFAT rutsigiro kune kernel kwakagoneka mushure meMicrosoft yakabudiswa kutaurwa neruzhinji uye akaita exFAT patents kuwanikwa kushandiswa kwehumambo-mahara paLinux.
    • Btrfs inoshandisa itsva ioctl() command - BTRFS_IOC_SNAP_DESTROY_V2, iyo inokutendera kuti udzime chidimbu nechiziviso chacho. Tsigiro yakazara yecloning inline zviyero inopihwa. Huwandu hwekukanzura mapoinzi ekugoverazve mashandiro akawedzerwa, izvo zvadzikisira kumirira kwenguva refu paunenge uchiita iyo 'balance cancel' command. Kutemerwa kwebacklinks kusvika kumazinga kwakakwidziridzwa (semuenzaniso, iyo test script execution nguva yadzikira kubva paawa kuenda kumaminetsi akati wandei). Yakawedzera kugona kubatanidza mafaera efaira kune yega inode yemuti. Iyo blocking scheme inoshandiswa pakunyorera kune subpartitions uye kana isingabatanidzi NOCOW yakagadziridzwa patsva. Kuvandudzwa kwekuita kwefsync kuuraya kwemarenji.
    • XFS yakavandudza metadata yekutarisa uye fsck yezvikamu zvinoshanda. Raibhurari yakakurudzirwa kuvakazve zvimiro zvebtree, izvo mune ramangwana zvichashandiswa kugadzirisazve xfs_repair uye kuita mukana wekupora pasina kudzikisa chikamu.
    • Tsigiro yekuyedza yekuisa chikamu chekuchinjana muSMB3 storages yawedzerwa kuCIFS. Yakaitwa POSIX extensions to readdir, inotsanangurwa muSMB3.1.1 tsanangudzo. Kuvandudzwa kwekuita kwekunyora kwemapeji 64KB kana cache=strict mode ikabatidzwa uye maprotocol versions 2.1+ ashandiswa.
    • FS EXT4 yakatamiswa kubva kubmap uye iopoll kuenda kukushandisa iomap.
    • F2FS inopa tsigiro yekusarudzika yekumanikidza data uchishandisa zstd algorithm. Nekumisikidza, iyo LZ4 algorithm inoshandiswa kumanikidza. Yakawedzerwa rutsigiro rwe "chattr -c commit" murairo. Mounting nguva inoratidza inopihwa. Yakawedzerwa ioctl F2FS_IOC_GET_COMPRESS_BLOCKS kuti uwane ruzivo nezvehuwandu hwemabhuroko akadzvanywa. Yakawedzera compression data kubuda kuburikidza ne statx.
    • Iyo Ceph faira system yakawedzera kugona kuita munharaunda kugadzira faira uye kudzima mashandiro (unlink) pasina kumirira mhinduro kubva kune server (kushanda mune asynchronous mode). Shanduko, semuenzaniso, inogona kuvandudza zvakanyanya kuita kana uchimhanyisa rsync utility.
    • Iko kugona kushandisa virtiofs seyepamusoro-level faira system yakawedzerwa kune OVERLAYFS.
    • Yakanyorwazve nzira yekutenderera kodhi muVFS, yekufananidzira yekubatanidza parsing kodhi yakagadziridzwa, uye mount point traversal yakabatanidzwa.
    • Mune scsi subsystem kune vasina rombo vashandisi bvumidzwa kuita mirairo yeZBC.
    • Mu dm_writecache itwa iko kugona kujekesa zvishoma nezvishoma cache zvichibva pane max_age parameter, iyo inoisa iyo yakanyanya hupenyu hwevhavha.
    • In dm_integrity akawedzera rutsigiro rwe "kurasa" kushanda.
    • In null_blk akawedzera tsigiro yekutsiva kukanganisa kutevedzera kutadza panguva yekuyedzwa.
    • Added kugona kutumira udev zviziviso nezve block mudziyo saizi shanduko.
  • Network subsystem
    • Netfilter inosanganisira change, ichimhanyisa zvakanyanya kugadziridzwa kweakakura match lists (nftables sets), izvo zvinoda kutarisa musanganiswa we subnets, network ports, protocol uye MAC kero.
      Optimizations kuunzwa kupinda mu nft_set_pipapo (PIle PAcket POlicies) module, iyo inogadzirisa dambudziko rekufananidza zviri mukati mepakiti ine zvisizvo nzvimbo yenzvimbo inoshandiswa mumitemo yekusefa, yakadai seIP uye network port ranges (nft_set_rbtree uye nft_set_hash manipulate interval matching uye kuratidzwa kwakananga kwehukoshi. ) Iyo vhezheni yepipapo vectorized ichishandisa 256-bit AVX2 mirairo pane system ine AMD Epyc 7402 processor yakaratidza kuwedzera kwe420% kuita kwekuwedzera pakudhirowa zviuru makumi matatu zvinyorwa zvinosanganisira port-protocol musanganiswa. Kuwedzera pakuenzanisa musanganiswa we subnet uye nhamba yechiteshi pakuparadzanisa 30 rekodhi yaive 1000% yeIPv87 uye 4% yeIPv128.

    • Added bareudp module, iyo inokutendera kuti uvharidzire akasiyana L3 mapuroteni, akadai seMPLS, IP uye NSH, mumugero weUDP.
    • Kubatanidzwa kweMPTCP (MultiPath TCP) zvikamu, kuwedzera kweTCP protocol yekuronga kushanda kweTCP yekubatanidza nekuendeswa kwepakiti panguva imwe chete munzira dzinoverengeka kuburikidza nekusiyana kwetiweki interfaces yakasungirirwa kune akasiyana IP kero, yakaenderera mberi.
    • Added tsigiro yehardware yekumhanyisa masisitimu ekuputira Ethernet mafuremu mu802.11 (Wi-Fi).
    • Kana uchifambisa mudziyo kubva kune imwe network namespace kuenda kune imwe, kodzero dzekuwana uye muridzi wemafaira anoenderana musysfs anogadziriswa.
    • Yakawedzera kugona kushandisa SO_BINDTODEVICE mureza kune vasiri midzi.
    • Chikamu chechitatu chezvigamba chakagamuchirwa, kushandura iyo ethtool toolkit kubva ioctl () kushandisa iyo netlink interface. Iyo itsva interface inoita kuti zvive nyore kuwedzera maextension, inovandudza kukanganisa kubata, inobvumira zviziviso kuti zvitumirwe kana nyika yachinja, inorerutsa kudyidzana pakati pe kernel nenzvimbo yemushandisi, uye inoderedza huwandu hwemazita ane mazita anoda kuwiriraniswa.
    • Yakawedzera kugona kushandisa yakakosha hardware accelerators kuita yekubatanidza yekutevera mashandiro.
    • Munetfilter akawedzera hoko yekubatanidza classifiers emapaketi anobuda (egress), ayo aienderana neaimbovapo hoko yemapaketi anouya (ingress).
  • Virtualization uye Chengetedzo
    • Yakawedzerwa Hardware kuisirwa kwekusimbisa pointer (Pointer Authentication), iyo inoshandisa yakasarudzika ARM64 CPU mirairo yekudzivirira kubva mukurwiswa uchishandisa return-oriented programming (ROP) matekiniki, umo anorwisa asingayedze kuisa kodhi yake mundangariro, asi anoshanda pazvidimbu zvemichina yemirairo yatovepo mumaraibhurari akaremerwa, ichipera. ine control return instruction. Chengetedzo inodzika pakushandisa masiginecha edhijitari kuratidza kero dzekudzoka padanho re kernel. Iyo siginicha inochengetwa mumabheti epamusoro asina kushandiswa eiyo pointer pachayo. Kusiyana nemashandisirwo esoftware, kugadzirwa uye kusimbiswa kwemasiginecha edhijitari kunoitwa uchishandisa yakakosha mirairo yeCPU.
    • Added kugona kuchengetedza nzvimbo yekurangarira kubva pakunyora uchishandisa iyo userfaultfd () system call, yakagadzirirwa kubata zvikanganiso zvepeji (kusvika kune isina kugoverwa ndangariro mapeji) munzvimbo yemushandisi. Pfungwa iyi ndeye kushandisa userfaultfd () zvese kuona kutyorwa kwekupinda kune mapeji akanyorwa seakadzivirirwa uye kufonera mubati anogona kupindura kune akadaro kunyora kuedza (semuenzaniso, kubata shanduko panguva yekugadzirwa kwehupenyu snapshots yekumhanya maitiro, nyika. tora kana uchirasa ndangariro dzinorasa ku diski, kuita ndangariro yakagovaniswa, kuronda shanduko mundangariro). Kushanda yakaenzana uchishandisa mprotect () pamwe chete neSIGSEGV chiratidzo chekubata, asi inoshanda zvinooneka nekukurumidza.
    • SELinux yakabvisa iyo "checkreqprot" parameter, iyo inokutendera iwe kudzima cheki chekuchengetedza ndangariro paunenge uchigadzirisa mitemo (kubvumidza kushandiswa kwenzvimbo dzinogoneka dzekurangarira, zvisinei nemitemo inotsanangurwa mumitemo). Kernfs symlinks inotenderwa kugara nhaka yezvinyorwa zvevabereki vavo.
    • Chikamu inosanganisirwa module KRSI, iyo inokutendera kuti ubatanidze zvirongwa zveBPF kune chero zvikorekedzo zveLSM mukernel. Shanduko inobvumidza iwe kugadzira LSM modules (Linux Security Module) muchimiro cheBPF zvirongwa zvekugadzirisa matambudziko ekuongorora uye inosungirwa yekuwana kutonga.
    • Kuitwa Inokwidziridza mashandiro e/dev/random nekubata CRNG hunhu pachinzvimbo chekufonera RNG mirairo yega. Kuvandudzwa kwekuita kwe getrandom uye /dev/random pane ARM64 masisitimu inopa RNG mirairo.
    • Kuitwa kwe elliptic curve Curve25519 yakatsiviwa nokuda kwechisarudzo kubva muraibhurari HACL, nokuda kwe kupiwa humbowo hwemasvomhu hwechokwadi chechokwadi chekuvimbika.
    • Added michina yekuzivisa nezve emahara memory mapeji. Uchishandisa dhizaini iyi, masisitimu evaenzi anogona kuendesa ruzivo nezve mapeji asingachashandiswi kune iyo host system, uye muenzi anogona kudzosera data repeji.
    • Mu vfio/pci akawedzera tsigiro yeSR-IOV (Imwe-Root I/O Virtualization).
  • Memory uye system masevhisi
    • Kubva pa80 kusvika ku100 mavara yakawedzera muganhu pahurefu hwemutsara muzvinyorwa zvekwakabva. Panguva imwecheteyo, vagadziri vachiri kukurudzirwa kuti vagare mukati memavara makumi masere pamutsara, asi iyi haisisiri muganho wakaoma. Pamusoro pezvo, kudarika muganho wehukuru hwemutsara zvino zvinozokonzera yambiro yekuvaka chete kana cheki ichiitwa neiyo '--strict' sarudzo. Shanduko ichaita kuti zvibvirire kusavhiringidza vanogadzira manipulation nenzvimbo uye unzwe wakasununguka kana uchienzanisa kodhi, pamwe chete achadzivirira kutyora mutsara zvakanyanya, kuvhiringidza kodhi kunzwisisa uye kutsvaga.
    • Added tsigiro yeEFI yakasanganiswa bhutsu modhi, iyo inobvumidza iwe kurodha 64-bit kernel kubva ku32-bit firmware inomhanya pa64-bit CPU usingashandisi yakasarudzika bootloader.
    • Yagoneswa sisitimu yekuzivisa uye kugadzirisa kupatsanura makiyi ("split lock"), izvo zvinoitika kana uchiwana isina kurongeka data mundangariro nekuda kwekuti kana uchiita rairo yeatomu, iyo data inoyambuka miviri yeCPU cache mitsetse. Kuvhara kwakadaro kunoguma nekukosha kwekuita kurova (1000 cycles inononoka pane atomic operation pane data inowira mune imwe cache line). Zvichienderana ne "split_lock_detect" boot parameter, kernel inogona kuona makiyi akadaro panhunzi uye ibudise yambiro kana kutumira chiratidzo cheSIGBUS kuchishandiso chinokonzeresa kukiya.
    • Iyo basa scheduler inopa kuteedzera tembiricha sensors (Thermal Pressure) uye kushandiswa uchifunga nezvekupisa kana uchiisa mabasa. Uchishandisa manhamba akapihwa, gavhuna anopisa anogona kugadzirisa iyo yakanyanya CPU frequency kana yanyanya kupisa, uye mugadziri webasa zvino anofunga kuderedzwa kwesimba rekombuta nekuda kwekudzikiswa kwakadai kwefrequency pakuronga mabasa ekumhanya (kare, mugadziri akapindura shanduko. muhuwandu nekumwe kunonoka, kwechinguva kuita sarudzo zvichienderana nekufungidzira kwakakura pamusoro pezviwanikwa zvekombuta).
    • Mugadziri webasa anosanganisira zviratidzo zvisingachinji mutoro wekutevera, uchikubvumidza kuti unyatso kufungidzira mutoro, zvisinei neiyo CPU inoshanda frequency. Shanduko iyi inobvumidza iwe kunyatso kufanotaura maitiro emabasa pasi pemamiriro ekuchinja kwesimba mumagetsi uye CPU frequency. Semuenzaniso, basa rakapedza 1/3 yezviwanikwa zveCPU pa1000 MHz richapedza 2/3 yezviwanikwa kana frequency inodonha kusvika pa500 MHz, iyo yakambogadzira fungidziro yenhema yekuti yaimhanya yakazara (kureva mabasa akaonekwa. yakakura kune inoronga chete nekudzikisa kuwanda, izvo zvakakonzera kuti sarudzo dzisiridzo dziitwe mune schedutil cpufreq gavhuna).
    • Iyo Intel P-state driver, iyo ine basa rekusarudza maitiro ekuita, yakachinjirwa kushandisa scheduleutil.
    • Iko kugona kushandisa iyo BPF subsystem kana kernel iri kushanda munguva chaiyo (PREEMPT_RT) yaitwa. Kare, paishandiswa PREEMPT_RT, BPF yaifanirwa kuvharwa.
    • Rudzi rutsva rwechirongwa cheBPF rwakawedzerwa - BPF_MODIFY_RETURN, iyo inogona kusungirirwa kune basa mu kernel uye kuchinja kukosha kwakadzoserwa nebasa iri.
    • Added mukana Uchishandisa iyo clone3 () system yekufona kugadzira maitiro muboka rakasiyana neboka revabereki, zvichibvumira maitiro evabereki kushandisa zvirambidzo uye kugonesa accounting nekukurumidza mushure mekutanga maitiro matsva kana shinda. Semuenzaniso, maneja webasa anogona kugovera zvakananga masevhisi matsva kuparadzanisa mapoka, uye maitiro matsva, kana akaiswa mumapoka "akaoma nechando", anobva amiswa.
    • muKbuild akawedzera Tsigiro yenzvimbo inoshanduka "LLVM = 1" kushandura kuClang/LLVM toolkit paunenge uchivaka kernel. Zvinodiwa zvebhinuti vhezheni zvakasimudzwa (2.23).
    • Chikamu /sys/kernel/debug/kunit/ chawedzerwa kune debugfs nemhedzisiro yekunit bvunzo.
    • Yakawedzera kernel boot parameter pm_debug_messages (inofananidzwa ne /sys/power/pm_debug_messages), iyo inogonesa kubuda kweruzivo rwekugadzirisa nezvekushanda kwesimba rekutonga system (inobatsira pakugadzirisa matambudziko ne hibernation uye standby mode).
    • Kune iyo asynchronous I/O interface io_uring rutsigiro rwakawedzerwa chidimbu () ΠΈ atomic buffer kusarudzwa.
    • Yakavandudzwa cgroup profiling uchishandisa perf toolkit. Pakutanga, perf yaingokwanisa kuita basa mune rimwe boka uye haina kukwanisa kuziva kuti iboka ripi remuenzaniso razvino ndere. perf ikozvino inotora ruzivo rweboka remuenzaniso wega wega, zvichikutendera kuti utarise boka rinopfuura rimwe chete uye shandisa kurongedza
      cgroup mumareport.

    • cgroupfs, pseudo-FS yekugadzirisa mapoka, yakawedzera tsigiro yeakawedzera hunhu (xattrs), iyo, semuenzaniso, iwe unogona kusiya rumwe ruzivo kune vanobata munzvimbo yemushandisi.
    • Mune cgroup memory controller akawedzerauye tsigiro yekudzivirirwa kwekudzivirira kwe "memory.low" kukosha, iyo inogadzirisa huwandu hushoma hwe RAM hunopiwa kune nhengo dzeboka. Paunenge uchiisa chikwata chechikwata ne "memory_recursiveprot" sarudzo, kukosha kwe "memory.low" iyo yakaseterwa mafundo ezasi ichagoverwa yega kune ese mafundo evana.
    • Added Uacce (Yakabatana/Mushandisi-nzvimbo-yekusvikira-yakananga Accelerator Framework) sisitimu yekugovanisa kero chaiyo (SVA, Yakagoverwa Virtual Kero) pakati peCPU nemidziyo yekutenderera, ichibvumira hardware accelerators kuwana data zvimiro muCPU huru.
  • Hardware architectures
    • Kune iyo ARM yekuvaka, kugona kupisa-kutora ndangariro kunoitwa.
    • Kune iyo RISC-V yekuvakisa, rutsigiro rwekupisa plugging uye kubviswa kweCPUs (CPU hotplug) yakawedzerwa. Kune 32-bit RISC-V, eBPF JIT inoshandiswa.
    • Iko kugona kushandisa 32-bit ARM masisitimu ekumhanyisa KVM nharaunda dzevaenzi kwabviswa.
    • Yakabviswa iyo "dummy" NUMA kuitiswa kweiyo s390 yekuvaka, iyo isina kese nyaya dzekushandisa dzakawanikwa kuti dziite kuvandudzwa kwekuita.
    • Kune ARM64, yakawedzera tsigiro yeAMU (Activity Monitors Unit) yekuwedzera, inotsanangurwa muARMv8.4 uye nekupa maitirwo emakabati ayo anoshandiswa kuverenga frequency kuyera kururamisa zvinhu muhurongwa hwebasa.
  • Zvigadzirwa
    • Added tsigiro yemidziyo yevDPA inoshandisa dhata yekutsinhana chiteshi inoenderana neiyo virtio zvakatemwa. vDPA zvishandiso zvinogona kunge zvakabatana nemidziyo kana software yakateedzerwa madhizaini.
    • MuGPIO subsystem akaonekwa itsva ioctl () yekuraira yekutarisa shanduko, ichikubvumidza iwe kuzivisa maitiro nezve shanduko mune chero GPIO mutsara. Semuenzaniso wekushandisa murairo mutsva yakakurudzirwa gpio-watch utility.
    • Mune i915 DRM mutyairi weIntel vhidhiyo makadhi zvaisanganisira default rutsigiro rweTigerlake ("Gen12") machipisi uye yakawedzera rutsigiro rwekutanga rweOLED backlight control. Rutsigiro rwakavandudzwa rweIce Lake, Elkhart Lake, Baytrail uye Haswell chips.
    • Mune amdgpu mutyairi akawedzera kugona kurodha firmware mu USBC chip yeASIC. Yakavandudzwa rutsigiro rwe AMD Ryzen 4000 "Renoir" machipisi. Ikozvino kune rutsigiro rwekudzora OLED mapaneru. Yakapihwa kuratidzwa kweiyo firmware mamiriro mune debugfs.
    • Kugona kushandisa OpenGL 4 mumasisitimu evaenzi kwawedzerwa kune vmwgfx DRM mutyairi weVMware virtualization system (yaimbova OpenGL 3.3 yaitsigirwa).
    • Yakawedzera nyowani DRM driver tids yeTI Keystone papuratifomu yekuratidzira system.
    • Akawedzera madhiraivha eLCD mapaneru: Feixin K101 IM2BA02, Samsung s6e88a0-ams452ef01, Novatek NT35510, Elida KD35T133, EDT, NewEast Optoelectronics WJFH116008A, Rocktech RKDFR101D01IIda Frieda.
    • Kumagetsi manejimendi system akawedzera rutsigiro rweAtom-based Intel Jasper Lake (JSL) chikuva.
    • Yakawedzerwa rutsigiro rwePinebook Pro laptop yakavakirwa paRockchip RK3399, Pine64 PineTab piritsi uye smartphone. pinephone yakavakirwa paAllwinner A64.
    • Yakawedzerwa rutsigiro rwemaodhiyo macodecs uye machipi:
      Amlogic AIU, Amlogic T9015, Texas Instruments TLV320ADCX140, Realtek RT5682, ALC245, Broadcom BCM63XX I2S, Maxim MAX98360A, Presonus Studio 1810c, MOTU MicroBook IIc.

    • Yakawedzerwa tsigiro yemabhodhi eARM nemapuratifomu Qualcomm Snapdragon 865 (SM8250), IPQ6018, NXP i.MX8M Plus, Kontron β€œsl28”, 11 i.MX6 TechNexion Pico mabhodhi sarudzo, nhatu itsva Toradex Colibri sarudzo, Samsung S7710 Galaxy Xcover 2 zvichibva paST -Ericsson u8500, DH Electronics DHCOM SoM uye PDK2, Renesas M3ULCB, Hoperun HiHope, Linutronix Testbox v2, PocketBook Bata Lux 3.

Source: opennet.ru

Voeg