Linux 5.8 kernel kuburitswa

Mushure memwedzi miviri yebudiriro, Linus Torvalds kuunzwa kernel release Linux 5.8. Pakati pekuchinja kunonyanya kukosha: yeKCSAN nhangemutange yedhijitari, yepasirese nzira yekuendesa zviziviso kunzvimbo yemushandisi, tsigiro yehardware yeinline encryption, yakagadziridzwa nzira dzekuchengetedza yeARM64, rutsigiro rweRussia Baikal-T1 processor, kugona kukwidza zvakasiyana procfs zviitiko. , kushandiswa kweShadow kuchengetedza nzira dzeARM64 Call Stack uye BTI.

Kernel 5.8 yakava yakakura maererano nehuwandu hwekuchinja kwese kernels panguva yese yekuvapo kweprojekiti. Uyezve, shanduko hadzina hukama kune chero imwe subsystem, asi inovhara zvikamu zvakasiyana zve kernel uye inonyanya kubatanidzwa nemukati rework uye kuchenesa. Shanduko huru dzinoonekwa muvatyairi. Iyo itsva vhezheni yaisanganisira 17606 inogadzirisa kubva kune 2081 vanogadzira, iyo yakakanganisa ingangoita makumi maviri muzana emafaira ese ari mukernel kodhi repository. Saizi yechigamba ndeye 20 MB (shanduko dzakakanganisa 65 mafaera, 16180 mitsetse yekodhi yakawedzerwa, 1043240 mitsetse yakabviswa). Kana tichienzanisa, bazi re489854 raive ne5.7 zvigadziriso uye saizi yechigamba ye15033 MB. Inenge 39% yeshanduko dzese dzakaunzwa mu37 dzine hukama nevatyairi vemidziyo, ingangoita 5.8% yeshanduko ine chekuita nekuvandudza kodhi yakanangana nehardware architecture, 16% ine hukama netiweki stack, 11% ine hukama nemafaira masisitimu, uye 3% zvine hukama nemukati kernel subsystems.

chikuru zvitsva:

  • Virtualization uye Chengetedzo
    • Kurodha kwema kernel modules ane zvikamu zvine kodhi umo mabits anobvumira kuurayiwa uye kunyora panguva imwe chete akaiswa anopihwa. Shanduko iyi yakaitwa sechikamu chepurojekiti yakakura kubvisa kernel yekushandiswa kwemapeji ekurangarira anobvumira kuurayiwa uye kunyora panguva imwe chete.
    • Izvozvi zvinogoneka kugadzira akaparadzana procfs zviitiko, zvichibvumira kushandiswa kweakawanda maprocfs ekumisikidza mapoinzi, akaiswa neakasiyana sarudzo, asi achiratidza imwecheteyo maitiro identifier namespace (pid namespace). Pakutanga, ese maprocfs anokwira mapoinzi aingoratidzira imwe yemukati inomiririra, uye chero shanduko kugomo paramita yakakanganisa mamwe ese mapoinzi ane chekuita neiyo yakafanana process ID namespace. Pakati penzvimbo umo kukwira neakasiyana sarudzo kungave kuri kudiwa ndeyekuitwa kwekureruka kwekuzviparadzanisa nevamwe kune akaiswa masisitimu ane kugona kuvanza mamwe marudzi emaitiro uye ruzivo node muprocfs.
    • Tsigiro yemuchina yakaitwa yeArM64 papuratifomu
      Shadow-Call Stack, yakapihwa neClang compiler kudzivirira kubva pakunyora kero yekudzoka yebasa kana stack buffer ichifashukira. Chinhu chekudzivirira ndechekuchengetedza kero yekudzoka mune imwe "mumvuri" stack mushure mekuendesa kutonga kune basa uye kudzoreredza kero iyi usati wabuda basa.

    • Tsigiro yekuraira yakawedzerwa kune iyo ARM64 chikuva ARMv8.5-BTI (Branch Target Indicator) kuchengetedza kuitwa kwemaseti emirairo isingafanirwe kuve nebazi. Kuvharira shanduko kuenda kuzvikamu zvekupokana zvekodhi kunoitwa kudzivirira kusikwa kwezvigajeti mukushandisa zvinoshandisa kudzoka-yakatarisana programming matekiniki (ROP - Return-Oriented Programming; anorwisa haaedze kuisa kodhi yake mundangariro, asi anoshanda pane zvidimbu zvagara zviripo. yemirairo yemuchina inopera nemirairo yekudzora yekudzoka, kubva painovakwa ketani yekufona kuti uwane iyo inodiwa kushanda).
    • Yakawedzerwa hardware rutsigiro rwe inline encryption ye block zvishandiso (Inline Encryption) Inlinep encryption madivayiri anowanzo kuvakwa mudhiraivha, asi anowanikwa zvine mutsindo pakati pesystem memory uye disk, zviri pachena encrypting uye decrypting I/O zvichibva pane kernel-yakatsanangurwa makiyi uye encryption algorithm.
    • Yakawedzera iyo "initrdmem" kernel yekuraira mutsara sarudzo yekukubvumidza kuti utaure kero yekurangarira yemukati kana uchiisa yekutanga boot image mu RAM.
    • Yakawedzera kugona kutsva: CAP_PERFMON yekuwana iyo perf subsystem uye kuita yekutarisa maitiro. CAP_BPF.
    • Wedzera itsva virtio-mem mudziyo unobvumidza iwe kupisa-plug uye inopisa-plug ndangariro mumasitimu evaenzi.
    • Kuitwa kuyeuka kwemepu mashandiro mu/dev/mem kana mutyairi wemudziyo achishandisa nzvimbo dzekuyeuka dzinopfuura.
    • Yakawedzerwa kuchengetedzwa kwenjodzi CROSSTalk/SRBDS, iyo inokutendera iwe kudzoreredza mhedzisiro yemamwe mirairo yakaitwa pane imwe CPU musimboti.
  • Memory uye system masevhisi
    • Mugwaro rinotsanangura mitemo yekugadzira kodhi, zvakagamuchirwa kurudziro dzekushandiswa kwemazwi anosanganisirwa. Vagadziri havakurudzirwe kushandisa masanganiswa 'tenzi / muranda' uye 'blacklist / whitelist', pamwe neshoko rekuti 'muranda' zvakasiyana. Kurudziro inobata chete kushandiswa kutsva kwemazwi aya. Kududzwa kwemazwi akatsanangurwa atovepo mukati mepakati acharamba asina kubatika. Mune kodhi nyowani, kushandiswa kwemazwi akaiswa anotenderwa kana zvichidikanwa kutsigira API neABI yakafumurwa munzvimbo yemushandisi, pamwe nekuvandudza iyo kodhi kutsigira iripo hardware kana maprotocol ayo anotsanangurwa anoda kushandiswa kwemamwe mazwi.
    • Debugging tool inosanganisirwa KCSAN (Kernel Concurrency Sanitizer), yakagadzirirwa kutariswa zvine simba mamiriro erudzi mukati kati. Iko kushandiswa kweKCSAN kunotsigirwa kana uchivaka muGCC neClang, uye inoda kugadziridzwa kwakakosha panguva yekuunganidza yekutevera ndangariro kuwana (mabreakpoints anoshandiswa anokonzereswa kana ndangariro ichiverengwa kana kuchinjwa). Kubudirira kweKCSAN kunotarisa pakudzivirira kwenhema kwakanaka, scalability, uye nyore kushandisa.
    • Added universal mechanism kuendesa zviziviso kubva ku kernel kuenda kunzvimbo yemushandisi. Iyo meshini yakavakirwa pane yakajairwa pombi mutyairi uye inobvumidza iwe kunyatso kugovera zviziviso kubva kukernel pamusoro pezviteshi zvakavhurika munzvimbo yemushandisi. Mapoinzi ekugamuchira zviziviso mapaipi anovhurwa mune yakakosha modhi uye anobvumira mameseji anogamuchirwa kubva kukernel kuti aunganidzwe mumhete buffer. Kuverenga kunoitwa neyakajairwa kuverenga () basa. Muridzi wechiteshi anoona kuti ndeapi masosi mu kernel anoda kutariswa uye anogona kutsanangura sefa yekufuratira mamwe marudzi emameseji uye zviitiko. Pakati pezviitiko, mabasa ane makiyi chete ndiwo anotsigirwa pari zvino, sekuwedzera/kubvisa makiyi uye kushandura hunhu hwavo. Zviitiko izvi zvakarongwa kuti zvishandiswe muGNOME.
    • Kuenderera mberi kwekusimudzira kwe 'pidfd' mashandiro ekubatsira kubata PID zvakare kushandisa mamiriro (pidfd inosanganiswa neimwe nzira uye haishanduke, nepo PID ichigona kubatanidzwa neimwe nzira mushure mekuita kwazvino kwakabatana neiyo PID kuguma). Iyo vhezheni nyowani inowedzera tsigiro yekushandisa pidfd kubatanidza maitiro kune namespaces (kubvumidza pidfd kutsanangurwa paunenge uchiita iyo setns system call). Kushandisa pidfd kunobvumidza iwe kudzora kusungirirwa kwemaitiro kune akati wandei enzvimbo dzemazita nerunhare rumwe, zvakanyanya kuderedza huwandu hweinodiwa system mafoni uye kuita yekunamatira mune atomic modhi (kana kunamatira kune imwe yemazita kwakatadza, mamwe acho haazobatani) .
    • Yakawedzera imwe itsva system call facesat2 (), yakasiyana ne
      faceat() imwe nharo yekuwedzera ine mireza inoenderana nePOSIX kurudziro (yaimbova mireza iyi yaiteedzerwa muC library, uye iyo nyowani faceat2 inovabvumira kuti iitwe mukernel).

    • MuCgroup akawedzera memory.swap.high setting inogona kushandiswa kuderedza mabasa anotora nzvimbo yakawandisa yekuchinjana.
    • Kune iyo asynchronous I/O interface io_uring yakawedzera rutsigiro rwe tee() system call.
    • Added mechanism"BPF iterator, yakagadzirirwa kuburitsa zviri mukati mekernel zvimiro kune nzvimbo yemushandisi.
    • Provided kugona kushandisa ring buffer yekuchinjana data pakati peBPF zvirongwa.
    • Muchigadzirwa padata, yakagadzirirwa kuronga kuita kwakafanana kwemabasa mu kernel, yakawedzera tsigiro yemabasa akawanda ane shinda ane kuenzanisa mutoro.
    • Mune pstore mechanism, iyo inokutendera iwe kuchengetedza debugging ruzivo nezve chakakonzera tsaona munzvimbo dzekurangarira dzisina kurasika pakati pekutangazve, akawedzera backend yekuchengetedza ruzivo kuvharira zvishandiso.
    • Kubva kuPREEMPT_RT kernel bazi kusuduruka kushandiswa kwekiyi dzemunharaunda.
    • Added new buffer allocation API (AF_XDP), ine chinangwa chekurerutsa kunyora kwevatyairi venetiweki neXDP (eXpress Data Path) rutsigiro.
    • Kune iyo RISC-V yekuvaka, tsigiro yekubvisa kernel zvikamu uchishandisa KGDB yaitwa.
    • Isati yaburitsa 4.8, zvinodikanwa zveshanduro yeGCC inogona kushandiswa kuvaka kernel zvakawedzerwa. Mune imwe yeanotevera kuburitswa kwakarongwa kusimudza bara kuGCC 4.9.
  • Disk Subsystem, I/O uye File Systems
    • Mumudziyo Mapper akawedzera new dm-ebs (emulate block size) mubatiri, anogona kushandiswa kutevedzera diki inonzwisisika block saizi (semuenzaniso, kutevedzera 512-byte zvikamu pane 4K chikamu-saizi disks).
    • Iyo F2FS faira system ikozvino inotsigira kudzvanya uchishandisa iyo LZO-RLE algorithm.
    • Mune dm-crypt akawedzera tsigiro yemakiyi akavharidzirwa.
    • Btrfs yakavandudza kubata kwekuverenga mashandiro mune yakananga I/O modhi. Pakuisa accelerated kutarisa zvikamu zvakadzimwa nemadhairekitori akasiiwa asina mubereki.
    • Iyo "nodelete" paramende yakawedzerwa kuCIFS, ichibvumira yakajairika mvumo kutarisa pane sevha, asi ichirambidza mutengi kudzima mafaera kana madhairekitori.
    • Ext4 yakagadzirisa kukanganisa kubata ENOSPC paunenge uchishandisa multithreading. xattr yawedzera tsigiro yegnu.* namespace inoshandiswa muGNU Hurd.
    • Kune Ext4 neXFS, kutsigirwa kweDAX mashandiro kunogoneswa (kusvika kwakananga kune iyo faira system ichipfuura peji cache pasina kushandisa block mudziyo level) zvine chekuita nemafaira ega uye madhairekitori.
    • In system call statx() mureza wakawedzerwa STATX_ATTR_DAX, iyo kana yatsanangurwa, inotora ruzivo uchishandisa injini yeDAX.
    • EXFAT akawedzera rutsigiro rwekusimbisa nzvimbo yebhutsu.
    • MuFAT kuvandudzwa proactive kurodha yezvinhu zveFS. Kuedza inononoka 2TB USB drive kwakaratidza kudzikiswa kwenguva yekupedza bvunzo kubva pa383 kusvika 51 seconds.
  • Network subsystem
    • Mune kodhi yekudzora kushanda kwe network mabhiriji akawedzera protocol rutsigiro MRP (Media Redundancy Protocol), iyo inobvumira kukanganisa kushivirira nekukanda akawanda Ethernet switch.
    • Kune traffic control system (Tc) akawedzera chitsva "gedhi" chiito, chinoita kuti zvikwanise kutsanangura nguva dzenguva yekugadzirisa uye kurasa mamwe mapaketi.
    • Tsigiro yekuyedza yakabatana netiweki tambo uye yekuzviongorora yetiweki zvishandiso yakawedzerwa kune kernel uye ethtool utility.
    • Tsigiro yeMPLS (Multiprotocol Label Switching) algorithm yakawedzerwa kune IPv6 stack yekufambisa mapaketi uchishandisa multiprotocol label switching (MPLS yakambotsigirwa IPv4).
    • Yakawedzerwa rutsigiro rwekufambisa IKE (Internet Key Exchange) uye IPSec mapaketi pamusoro peTCP (RFC 8229) kunzvenga zvinobvira UDP ichivharira.
    • Wedzera network block mudziyo rnbd, iyo inokutendera iwe kuronga kure kupinda kune block mudziyo uchishandisa iyo RDMA yekufambisa (InfiniBand, RoCE, iWARP) uye RTRS protocol.
    • Mune TCP stack akawedzera tsigiro yekudzvanyirira kwemhando mukusarudza kubvuma (SACK) mhinduro.
    • Ye IPv6 itwa TCP-LD rutsigiro (RFC 6069, Kukanganisa Kwekubatana Kwenguva refu).
  • Zvigadzirwa
    • Iyo i915 DRM mutyairi weIntel vhidhiyo makadhi inosanganisira tsigiro yeIntel Tiger Lake (GEN12) machipisi nekukasira, ayo itwa kugona kushandisa iyo SAGV (System Agent Geyserville) system kugadzirisa zvine simba frequency uye voltage zvichienderana nekushandiswa kwesimba kana kuita zvinodiwa.
    • Mutyairi weamdgpu akawedzera tsigiro yeFP16 pixel fomati uye kugona kushanda neyakavharidzirwa mabuffer muvhidhiyo memory (TMZ, Trusted Memory Zone).
    • Yakawedzerwa rutsigiro rwemagetsi masensa e AMD Zen uye Zen2 processors, pamwe nematembiricha sensors ye AMD Ryzen 4000 Renoir. Tsigiro yekudzosa ruzivo rwekushandisa simba kuburikidza neiyo interface inopihwa AMD Zen neZen2 RAPL (Running Average Power Limit).
    • Yakawedzera rutsigiro rweNVIDIA modifier fomati kumutyairi weNouveau. Kune gv100, kugona kushandisa interlaced scanning modes kwaitwa. Yakawedzera vGPU tsananguro.
    • Yakawedzerwa rutsigiro rweAdreno A405, A640 uye A650 GPUs kumutyairi weMSM (Qualcomm).
    • Added hurongwa hwemukati hwekutarisira DRM (Direct Rendering Manager) zviwanikwa.
    • Yakawedzerwa rutsigiro rweXiaomi Redmi Note 7 uye Samsung Galaxy S2 smartphones, pamwe neElm/Hana Chromebook laptops.
    • Akawedzera madhiraivha eLCD mapaneru: ASUS TM5P5 NT35596, Starry KR070PE2T, Leadtek LTK050H3146W, Visionox rm69299, Boe tv105wum-nw0.
    • Yakawedzerwa rutsigiro rwemabhodhi eARM nemapuratifomu Renesas "RZ/G1H", Realtek RTD1195, Realtek RTD1395/RTD1619, Rockchips RK3326, AMLogic S905D, S905X3, S922XH, Olimex A20-OLInu-LIMEXM-50MCXNUMXMC, Check-OLInuXM-XNUMXMC
      , Beacon i.MX8m-Mini, Qualcomm SDM660/SDM630, Xnano X5 TV Box, Stinger96, Beaglebone-AI.

    • Yakawedzera rutsigiro rweMIPS processor Loongson-2K (yakapfupikiswa Loongson64). YeCPU Loongson 3, tsigiro yekuita virtualization uchishandisa KVM hypervisor yakawedzerwa.
    • Added
      rutsigiro rweRussia Baikal-T1 processor uye system-on-chip yakavakirwa pairi BE-T1000. Iyo Baikal-T1 processor ine maviri P5600 MIPS 32 r5 superscalar cores anoshanda pa1.2 GHz. Iyo chip ine L2 cache (1 MB), DDR3-1600 ECC memory controller, 1 10Gb Ethernet port, 2 1Gb Ethernet ports, PCIe Gen.3 x4 controller, 2 SATA 3.0 ports, USB 2.0, GPIO, UART, SPI, I2C. Iyo processor inopa tsigiro yehardware yekuona, SIMD mirairo uye yakabatanidzwa hardware cryptographic accelerator inotsigira GOST 28147-89. Iyo chip inogadzirwa uchishandisa MIPS32 P5600 Warrior processor core unit ine rezinesi kubva kuImagination Technologies.

Panguva imwecheteyo, iyo Latin America Yemahara Software Foundation akaumbwa
sarudzo zvachose yemahara kernel 5.8 - Linux-mahara 5.8-gnu, kucheneswa kwe firmware uye zvinhu zvemutyairi zvine zvikamu zvisingabhadharwi kana zvikamu zvekodhi, chiyero chazvo chinogumira nemuiti. Kuburitswa kutsva uku kunodzima blob kurodha mumadhiraivha eAtom ISP Vhidhiyo, MediaTek 7663 USB/7915 PCIe, Realtek 8723DE WiFi, Renesas PCI xHCI, HabanaLabs Gaudi, Enhanced Asynchronous Sample Rate Converter, Maxim Yakabatanidzwa MAX98390 Imba Yakashongedzwa Mutauriri weAmpL38060 Mutauriri weMicrosemior ZZZZL2 Mutauriri weAimpL86 Mutauriri uye I6656C EEPROM Muranda. Yakagadziridzwa blob yekuchenesa kodhi muAdreno GPU, HabanaLabs Goya, xXNUMX touchscreen, vtXNUMX uye btbcm madhiraivha uye subsystems.

Source: opennet.ru

Voeg