Rudzi: Blog

Kuburitswa kweDBMS libmdbx 0.11.7. Fambisa Kubudirira kuGitFlic Mushure mekuvhara paGitHub

Libmdbx 0.11.7 (MDBX) raibhurari yakaburitswa nekushandiswa kwepamusoro-soro compact yakamisikidzwa key-value database. Iyo libmdbx kodhi ine rezinesi pasi peOpenLDAP Public License. Ese azvino masisitimu ekushandisa uye zvivakwa zvinotsigirwa, pamwe neRussia Elbrus 2000. Kuburitswa kwacho kwakakosha pakutama kweprojekiti kuenda kuGitFlic sevhisi mushure mekutonga kweGitHub […]

Intel yakavhura iyo PSE firmware kodhi yeElkhart Lake chips

Intel yakavhura sosi firmware yePSE (Programmable Services Engine) unit, iyo yakatanga kutumira muElkhart Lake mhuri processors, senge Atom x6000E, yakagadziridzwa kuti ishandiswe muInternet yeZvinhu zvishandiso. Iyo kodhi yakavhurika pasi peiyo Apache 2.0 rezinesi. PSE imwe yekuwedzera ARM Cortex-M7 processor core inoshanda mune yakaderera-simba modhi. PSE inogona kushandiswa kuita […]

Kusagadzikana muMediaTek uye Qualcomm ALAC decryptors inokanganisa akawanda madivaysi eAroid

Check Point yaona kusazvibata muALAC (Apple Lossless Audio Codec) odhiyo compression fomati decoder inopihwa neMediaTek (CVE-2021-0674, CVE-2021-0675) uye Qualcomm (CVE-2021-30351). Dambudziko rinobvumira kurwisa kodhi kuti iitwe kana uchigadzira yakanyatso kurongeka data muALAC fomati. Ngozi yekusagadzikana inowedzerwa nenyaya yekuti inokanganisa zvishandiso zvinomhanyisa Android chikuva chine MediaTek uye Qualcomm chips. Semagumo […]

Iyo VeriGPU chirongwa chinogadzira GPU yakavhurika mumutauro weVerilog

Iyo VeriGPU purojekiti ine chinangwa chekugadzira iyo yakavhurika GPU yakagadziridzwa mumutauro weVerilog kutsanangura uye kuenzanisira masystem emagetsi. Pakutanga, chirongwa ichi chiri kugadzirwa uchishandisa Verilog simulator, asi kana yapera inogona kushandiswa kugadzira machipisi chaiwo. Zviitiko zveprojekiti zvakagoverwa pasi peMIT rezenisi. VeriGPU yakamisikidzwa se-application-specific processor (ASIC) yakagadziridzwa kuti ikurumidze kuverenga zvine chekuita nemashini ekudzidza masisitimu. […]

Iyo Rust kuita kweOpenCL yeMesa inopa rutsigiro rweOpenCL 3.0

Kuitwa kutsva kweOpenCL (rusticl), kwakanyorwa muRust, kuri kugadzirwa purojekiti yeMesa, kwabudirira kupasa bvunzo yeCTS (Kronos Conformance Test Suite) inoshandiswa neKhronos consortium kuongorora kuenderana ne OpenCL 3.0 zvakatemwa. Iyo purojekiti iri kuvandudzwa naKarol Herbst kubva kuRed Hat, uyo ane chekuita nekusimudzira Mesa, mutyairi weNouveau uye OpenCL yakavhurika stack. Zvinozivikanwa kuti Carol […]

HPVM 2.0, compiler yeCPU, GPU, FPGA uye hardware accelerators yakaburitswa

Chirongwa cheLLVM chakazivisa kuburitswa kweHPVM 2.0 (Heterogeneous Parallel Virtual Machine), muumbi wakanangana nekurerutsa hurongwa hwehterogeneous masisitimu uye nekupa maturusi ekugadzira maturusi eCPUs, maGPU, FPGAs, uye domain-yakananga hardware accelerators. Iyo kodhi yeprojekiti yakagoverwa pasi peiyo Apache 2.0 rezinesi. Kurongeka kwemaheterogeneous parallel masisitimu kunoomeswa nekuvapo kwezvikamu mukati meiyo imwe system inoshandisa zvakasiyana […]

Waini 7.7 kuburitswa

Kuburitswa kwekuyedza kwekuita kwakavhurika kweWinAPI - Wine 7.7 - kwakaitika. Kubva pakaburitswa vhezheni 7.6, 11 bug mishumo yakavharwa uye 374 shanduko dzaitwa. Shanduko dzakanyanya kukosha: Basa rakaitwa kuendesa madhiraivha eX11 neOSS (Open Sound System) kuti ashandise PE (Portable Executable) inotemerwa faira fomati pane ELF. Zvinogoneka kushandisa UTF-8 se ANSI encoding […]

Kuburitswa kweKDE Gear 22.04, seti yezvikumbiro kubva kuKDE chirongwa

Iyo Kubvumbi yakasanganiswa yekuvandudza yezvikumbiro (22.04/232) yakagadziridzwa neiyo KDE purojekiti yaunzwa. Sechiyeuchidzo, iyo yakasanganiswa seti yeKDE application yakaburitswa pasi pezita rekuti KDE Gear kubva muna Kubvumbi, panzvimbo yeKDE Apps uye KDE Application. Pakazara, XNUMX kuburitswa kwezvirongwa, maraibhurari uye plugins zvakaburitswa sechikamu chekuvandudza. Ruzivo nezve kuwanikwa kweLive inovaka nekuburitswa kutsva kweapplication inogona kuwanikwa pane ino peji. Izvo zvinonyanya kuzivikanwa zvitsva: […]

Intel Inoburitsa SVT-AV1 Vhidhiyo Encoder 1.0

Intel yakaburitsa kuburitswa kweSVT-AV1 1.0 (Scalable Vhidhiyo Technology AV1) raibhurari, iyo inopa imwe encoder uye decoder yeAV1 vhidhiyo encoding fomati, iyo inoshandisa iyo hardware parallel computing kugona kunowanikwa mune yazvino Intel CPUs. Chinangwa chikuru cheSVT-AV1 ndechekuwana mwero wekuita wakakodzera pane-iyo-inobhururuka vhidhiyo transcoding uye kushandiswa muvhidhiyo-pa-inoda (VOD) masevhisi. […]

Kuburitswa kutsva kweSilero kutaura synthesis system

Kuburitswa kutsva kweruzhinji kweSilero Text-to-Speech neural network speech synthesis system iripo. Iyo purojekiti yakanangana nekugadzira yemazuva ano, yemhando yepamusoro yekutaura synthesis system isiri yakaderera kune yekutengeserana mhinduro kubva kumakambani uye inowanikwa kune wese munhu pasina kushandisa inodhura server midziyo. Iwo mamodheru akagoverwa pasi peGNU AGPL rezinesi, asi kambani inogadzira chirongwa ichi haiburitse nzira yekudzidzisa mamodheru. Kutanga […]

GitHub inovhara SymPy repository mushure mekunyunyuta kwenhema

GitHub yakavharira repository nezvinyorwa zviri pamutemo zveSymPy purojekiti uye webhusaiti docs.sympy.org inobatwa paGitHub maseva mushure mekugamuchira chichemo pamusoro pekutyorwa kwekodzero kubva kuHackerRank, kambani inoshanda mukuita makwikwi pakati pevagadziri uye kuhaya vanogadzira zvirongwa. Kuvharwa kwacho kwakaitwa pahwaro hweDigital Millennium Copyright Act (DMCA) inoshanda muUSA. Mushure mekuchema kwenharaunda, HackerRank yakabvisa chichemo […]

Mhedzisiro yesarudzo yemutungamiri wepurojekiti yeDebian yakapfupikiswa

Zvakabuda musarudzo dzegore negore dzemutungamiri weprojekiti yeDebian zvakaziviswa. Vagadziri ve354 vakatora chikamu mukuvhota, inova 34% yevose vatori vechikamu vane kodzero dzekuvhota (gore rapfuura vakavhota vaiva 44%, gore risati rasvika 33%). Gore rino, vatatu vari kukwikwidza hutungamiri vakapinda musarudzo. Jonathan Carter akakunda uye akasarudzwazve kuti atore chikamu chechitatu. […]