Wadahadal: Mashruuca OpenROAD wuxuu ku talajiraa inuu xalliyo dhibaatada otomatiga ah ee naqshadaynta processor-ka

Wadahadal: Mashruuca OpenROAD wuxuu ku talajiraa inuu xalliyo dhibaatada otomatiga ah ee naqshadaynta processor-ka
Π€ΠΎΡ‚ΠΎ - Pexels - CC BY

By sida ku cad PWC, suuqa tignoolajiyada semiconductor ayaa sii koraya - sanadkii hore waxay gaartay $ 481 bilyan. Laakiin heerka kobaceeda dhawaan hoos u dhacay. Sababaha hoos u dhaca waxaa ka mid ah hababka naqshadaynta aaladaha oo jahawareersan iyo automation la'aanta.

Dhowr sano ka hor, injineero ka yimid Intel qorayin marka la abuurayo microprocessor-waxqabad sare leh waa inaad isticmaashaa 100-150 qalab software oo kala duwan (EDA). Xaaladdu way ka sii dari kartaa marka la eego aaladaha kala duwan, qaab dhismeedka kaas oo ay ku jiraan dhowr nooc oo jajab ah - ASIC, FPGA, CPU ama GPU. Natiijo ahaan, khaladaadka naqshadeynta ayaa dhacaya kuwaas oo dib u dhigaya sii deynta alaabta.

In kasta oo ay jiraan tiro badan oo qalab caawimo ah, injineerada ayaa wali ku qasban in ay shaqada qaar gacanta ku qabtaan. Qorayaasha buugga "Advanced Logic Synthesis"waxay dhahaan mararka qaarkood naqshadeeyayaasha leeyihiin in ku qor qoraallada Skill ama Python oo ah laba milyan oo xariiq si aad maktabado ugu abuurto unugyo.

Qoraallada sidoo kale waxaa loo qoray si loo kala saaro warbixinnada ay keeneen nidaamyada EDA. Marka la samaynayo chip la isticmaalayo habka 22nm technology, warbixinahani waxay qaadan karaan ilaa 30 terabytes.

DARPA waxay go'aansatay in ay saxdo xaaladda oo ay isku daydo in ay habeeyaan hababka naqshadeynta. Sidoo kale hay'adda tixgelinin hababka jira ee abuurista chips ay duugoobeen. Ururka bilaabay barnaamijka shan sano ROAD furan, kaas oo ujeedadiisu tahay in la horumariyo qalab cusub si loo habeeyo hababka naqshadaynta chip.

Waa maxay barnaamijka

Barnaamijku wuxuu ku lug leeyahay dhowr mashruuc oo adeegsada barashada mashiinka iyo teknoolojiyadda daruuriga si ay u habeeyaan heerar gaar ah oo abuurista chip. Iyadoo qayb ka ah hindisaha waa la horumarinayaa (jaantuska 1) in ka badan toban qalab. Marka xigta waxaan si faahfaahsan uga hadli doonaa qaar ka mid ah: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Socodka socodka waa qalab lagu maamulo RTL iyo GDSII maktabadaha. Kuwa dambe waa faylal xog-ururin ah oo ah halbeeg warshadeed oo la isku weydaarsado macluumaadka ku saabsan wareegyada isku dhafan iyo muuqaalkooda. Xalku wuxuu ku salaysan yahay tignoolajiyada weelka Docker. Waxaad ku ordi kartaa Flow Runner labadaba daruuraha iyo gudahaba. Hagaha rakibaadda wuxuu ku yaalaa kaydka rasmiga ah ku yaal GitHub.

RePlAce waa xal daruuro ah oo ku salaysan barashada mashiinka, kaas oo ka mas'uul ah in qaybaha la dhigo chip-ka iyo habaynta otomaatiga ah. By xogta qaarAlgorithms-yada caqliga leh waxay kordhiyaan waxtarka aaladda 2-10% marka la barbar dhigo nidaamyada qadiimiga ah. Intaa waxaa dheer, hirgelinta daruurtu waxay ka dhigaysaa miisaan fudud. Hagaha rakibaadda iyo qaabeynta ayaa sidoo kale la heli karaa ee kaydka.

TritonCTS - utility loogu talagalay wanaajinta garaaca saacadaha la siiyo chip. Wuxuu caawiyaa dariiqa calaamadaha saacada ee dhammaan qaybaha qalabka ee leh daahitaan isku mid ah. Mabda'a hawlgalku wuxuu ku salaysan yahay H-geedaha. Habkan kordhiyaa waxtarka qaybinta calaamadaha 30% marka loo eego hababka dhaqanka. Horumarinta ayaa sheegaya in mustaqbalka tiradan la kordhin karo ilaa 56%. koodka isha TritonCTS iyo qoraalada la heli karo ku yaal GitHub.

FurSTA - mishiin loogu talagalay falanqaynta waqtiyada taagan. Waxay siinaysaa naqshadeeyaha fursad uu ku hubiyo shaqeynta chip ka hor inta aan si dhab ah la isugu keenin. Koodhka Tusaalaha ee OpenSTA u egtahay sida tan.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Utility wuxuu taageeraa sharaxaadaha liiska shabakadaha Verilog code, maktabadaha qaabka xoriyada, faylasha SDC, iwm.

Faa'iidooyinka iyo faa'iido darrada

Khubarada IBM iyo IEEE dabaaldegin teknoolajiyada daruuriga ah iyo barashada mashiinka ay muddo dheer dib ugu dhacday isticmaalka soosaarka chip. Fikraddooda, mashruuca DARPA wuxuu noqon karaa tusaale guul leh oo ku saabsan hirgelinta fikradan iyo gelin doonaa bilawga isbeddelka warshadaha.

Waxa kale oo la filayaa in dabeecadda furan ee OpenROAD ay abuuri doonto bulsho awood leh oo ku wareegsan qalabka oo ay soo jiidato bilawga cusub.

Wadahadal: Mashruuca OpenROAD wuxuu ku talajiraa inuu xalliyo dhibaatada otomatiga ah ee naqshadaynta processor-ka
Π€ΠΎΡ‚ΠΎ - Pexels - CC BY

Waxaa hore u jiray ka qaybgalayaal - shaybaar horumarinta chips oo ku salaysan Jaamacadda Michigan, noqon doona ugu horeysay, yaa tijaabin doona OpenROAD aaladaha il furan. Laakiin weli lama oga in xalal cusub ay awood u yeelan doonaan in ay saameyn muuqata ku yeeshaan qiimaha alaabta kama dambaysta ah.

Guud ahaan, agabka lagu horumarinayo hoggaanka DARPA ayaa la filayaa in ay saamayn togan ku yeeshaan warshadaha processor-ka, waxaana bilaabi doona mashaariic cusub oo aaggan ah. Tusaale ahaan wuxuu noqon lahaa qalab gEDA - waxay kuu ogolaaneysaa inaad naqshadeyso jajabyo leh tiro aan xadidneyn oo ka kooban. gEDA waxa ku jira utilities loogu talagalay tafatirka iyo qaabaynta microcircuits iyo habaynta guddiga. Xalka waxaa loo sameeyay aaladaha UNIX, laakiin tiro ka mid ah qaybaheeda ayaa sidoo kale ka hoos shaqeeya Windows. Hagaha la shaqaynta iyaga ayaa la heli karaa dukumeentiyada ku yaal bogga mashruuca.

Aaladaha sida xorta ah loo heli karo waxay siinayaan ururada madax banaan iyo kuwa bilawga ah ikhtiyaaro badan. Waxaa suurtogal ah in wakhti ka dib, hababka cusub ee OpenROAD ee horumarinta qalabka EDA iyo naqshadaynta jajabku ay noqon karaan halbeeg warshadeed.

Waxa aan ku qorno boggayaga shirkadda:

Source: www.habr.com

Add a comment