Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Re etsa tlhahiso ea ho theohela boemong bo tlaase hape 'me re bue ka tšireletso ea firmware bakeng sa liforomo tsa k'homphieutha tse lumellanang le x86. Lekhetlong lena, motsoako o ka sehloohong oa thuto ke Intel Boot Guard (e sa lokelang ho ferekanngoa le Intel BIOS Guard!) - theknoloji ea boot ea BIOS e tšehelitsoeng ka hardware eo morekisi oa tsamaiso ea k'homphieutha a ka e nolofalletsang ka ho sa feleng kapa a e thibela sethaleng sa tlhahiso. Ha e le hantle, risepe ea lipatlisiso e se e ntse e tloaelehile ho rona: fokotsa ts'ebetsong ea thekenoloji ena ka mokhoa o fokolang, hlalosa mohaho oa eona, ho e tlatsa ka lintlha tse sa ngolisoang, ho e nosetsa ka li-vector tse hlaselang ho latsoa le ho kopanya. A re ke re eketsa mafura pale ea kamoo kokoanyana e 'nileng ea e-ba cloned ka lilemo tse ngata ha ho etsoa barekisi ba' maloa ho lumella motho ea ka hlaselang monyetla oa ho sebelisa theknoloji ena ho etsa rootkit e patiloeng tsamaisong e ke keng ea tlosoa (esita le ka moqapi).

Ka tsela, sengoloa se ipapisitse le litlaleho "On Guard of Rootkits: Intel BootGuard" ho tsoa kopanong. ZeroNights 2016 le kopano ea bo29 DefCon Russia (litlhahiso ka bobeli mona).

Firmware bakeng sa sethala sa khomphutha se nang le meaho ea Intel 64

Taba ea pele, a re arabeng potso: firmware ea sethala sa sejoale-joale sa khomphutha se nang le meaho ea Intel 64 ke efe? Ehlile, UEFI BIOS. Empa karabo e joalo e ke ke ea nepahala. Ha re shebeng setšoantšo, se bonts'ang mofuta oa desktop (laptop) ea moaho ona.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Motheo ke sehokelo:

  • Processor (CPU, Central Processing Unit), eo, ntle le li-cores tse ka sehloohong, e nang le mokokotlo oa litšoantšo o hahiloeng (eseng ka mefuta eohle) le molaoli oa memori (IMC, Integrated Memory Controller);
  • Chipset (PCH, Platform Controller Hub), e nang le balaoli ba fapaneng ba ho sebelisana le lisebelisoa tsa peripheral le ho laola litsamaiso tse nyane. E 'ngoe ea tsona ke Intel Management Engine (ME) e tsebahalang haholo, e nang le firmware (firmware ea Intel ME).

Li-laptops, ho phaella ho tse ka holimo, li hloka molaoli o hahiloeng (ACPI EC, Advanced Control le Power Interface Embedded Controller), e ikarabellang bakeng sa ts'ebetso ea tsamaiso ea motlakase, touchpad, keyboard, Fn keys (khanya ea skrine, molumo oa molumo. , keyboard backlight, joalo-joalo ) le lintho tse ling. 'Me e boetse e na le firmware ea eona.

Kahoo, kakaretso ea firmware e ka holimo ke firmware ea sethaleng sa k'homphieutha (system firmware), e bolokiloeng mohopolong o tloaelehileng oa SPI flash. E le hore basebelisi ba memori ena ba se ke ba ferekanngoa hore na e hokae, litaba tsa memori ena li arotsoe ka libaka tse latelang (joalokaha ho bontšitsoe setšoantšong):

  • UEFI BIOS;
  • Firmware ea ACPI EC (sebaka se arohaneng se hlahile ka Skylake processor microarchitecture (2015), empa naheng ha re so bone mehlala ea ts'ebeliso ea eona, kahoo firmware ea molaoli ea hahelletsoeng e ntse e kenyelelitsoe ho UEFI BIOS) ;
  • Intel ME firmware;
  • tlhophiso (aterese ea MAC, joalo-joalo) ea adaptara ea marang-rang ea GbE (Gigabit Ethernet) e hahiloeng;
  • Litlhaloso tsa Flash ke sebaka se ka sehloohong sa memori ea flash e nang le lintlha tse lebisang libakeng tse ling, hammoho le tumello ea ho li fihlela.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Mookameli oa libese tsa SPI, molaoli oa SPI ea hahelletsoeng ka har'a chipset, eo mohopolo ona o fihlellehang ka eona, o ikarabella ho fokotsa phihlello ea libaka (ho latela tumello e boletsoeng). Haeba litumello li behiloe ho boleng ba Intel bo khothalelitsoeng (bakeng sa mabaka a ts'ireletso), joale mosebelisi e mong le e mong oa SPI o na le phihlello e felletseng (ho bala / ho ngola) sebakeng sa bona feela. 'Me tse ling kaofela li ka baloa feela kapa ha li fumanehe. 'Nete e tsebahalang: lits'ebetsong tse ngata, CPU e na le phihlello e felletseng ea UEFI BIOS le GbE, phihlello ea ho bala feela ho litlhaloso tsa flash, mme ha e na phihlello sebakeng sa Intel ME ho hang. Hobaneng ho ba bangata, eseng ho bohle? Se khothaletsoang ha se hlokehe. Re tla u bolella haholoanyane ka botlalo hamorao sehloohong.

Mekhoa ea ho sireletsa firmware ea sethala sa khomphutha hore e se fetohe

Ho hlakile hore firmware ea sethaleng sa k'homphieutha e lokela ho sireletsoa ho sekisetsa ho ka khonehang, e leng se ka lumellang mohlaseli ea ka khonang ho fumana sebaka ho eona (phonyoha lintlafatso tsa OS / reinstallations), ho phethahatsa khoutu ea bona ka mekhoa e khethehileng ka ho fetisisa, joalo-joalo. 'Me ho thibela phihlello ea libaka tsa memori ea SPI ha hoa lekana. Ka hona, ho sireletsa firmware ho liphetoho, ho sebelisoa mekhoa e fapaneng e ikhethileng tikolohong e 'ngoe le e' ngoe ea ts'ebetso.

Kahoo, firmware ea Intel ME e saennoe ho laola botšepehi le bonnete, 'me e hlahlojoa ke molaoli oa ME nako le nako ha e kenngoa mohopolong oa ME UMA. Ts'ebetso ena ea netefatso e se e tšohliloe ke rona ho e 'ngoe ea lihlooho, e inehetseng ho Intel ME subsystem.

'Me firmware ea ACPI EC, e le molao, e hlahlojoa feela bakeng sa botšepehi. Leha ho le joalo, ka lebaka la hore binary ena e kenyelelitsoe ho UEFI BIOS, e batla e le tlas'a mekhoa e ts'oanang ea ts'ireletso eo UEFI BIOS e e sebelisang. A re bue ka tsona.

Mekhoa ena e ka aroloa ka mekhahlelo e 'meli.

Ngola tšireletso sebakeng sa UEFI BIOS

  1. Tšireletso ea 'mele ea lintho tse ka hare ho SPI flash memory ka jumper e sireletsang ho ngola;
  2. Ho sireletsa khakanyo ea sebaka sa UEFI BIOS sebakeng sa aterese sa CPU ho sebelisa li-chipset tsa PRx;
  3. Ho thibela boiteko ba ho ngolla sebaka sa UEFI BIOS ka ho hlahisa le ho sebetsana le tšitiso e tsamaellanang ea SMI ka ho beha li-bits tsa BIOS_WE/BLE le SMM_BWP libukeng tsa chipset;
  4. Mofuta o tsoetseng pele haholo oa ts'ireletso ena ke Intel BIOS Guard (PFAT).

Ntle le mekhoa ena, barekisi ba ka hlahisa le ho kenya ts'ebetsong mehato ea bona ea ts'ireletso (mohlala, ho saena li-capsules ka lisebelisoa tsa UEFI BIOS).

Ke habohlokoa ho hlokomela hore tsamaisong e itseng (ho itšetlehile ka morekisi), ha se mekhoa eohle ea tšireletso e ka holimo e ka sebelisoang, e ka 'na ea se ke ea sebelisoa ho hang, kapa e ka kenngoa ts'ebetsong ka mokhoa o fokolang. U ka bala ho eketsehileng ka mekhoa ena le boemo ka ho kenngwa tshebetsong ha bona ka sehlooho sena. Bakeng sa ba thahasellang, re khothaletsa hore u bale letoto lohle la lingoliloeng mabapi le ts'ireletso ea UEFI BIOS ho tloha CodeRush.

Netefatso ea UEFI BIOS

Ha re bua ka theknoloji e tšepahalang ea boot, ntho ea pele e tlang kelellong ke Secure Boot. Leha ho le joalo, ka meralo e etselitsoe ho netefatsa bonnete ba likarolo tse kantle ho UEFI BIOS (bakhanni, li-bootloader, joalo-joalo), eseng firmware ka boeona.

Ka hona, Intel, ho SoCs e nang le Bay Trail microarchitecture (2012), e kentse ts'ebetsong ea hardware e sa nang bokooa Secure Boot (Verified Boot), e sa lumellaneng le theknoloji e boletsoeng ka holimo ea Secure Boot. Hamorao (2013), mochine ona o ile oa ntlafatsoa mme oa lokolloa tlas'a lebitso la Intel Boot Guard bakeng sa li-desktops tse nang le Haswell microarchitecture.

Pele re hlalosa Intel Boot Guard, ha re shebeng maemo a ts'ebetso ho meralo ea Intel 64, eo, hammoho, e leng metso ea ts'epo bakeng sa theknoloji ena e tšepahalang ea boot.

Intel-cpu

Cap e fana ka maikutlo a hore processor ke sebaka se ka sehloohong sa ts'ebetso mohahong oa Intel 64. Ke hobane'ng ha e le motso oa tšepo? Hoa etsahala hore se etsang hore a be joalo ke ho ba le lintlha tse latelang:

  • Microcode ROM ke memori e sa fetoheng, e sa ngoloang hape bakeng sa ho boloka microcode. Ho lumeloa hore microcode ke ts'ebetsong ea tsamaiso ea litaelo tsa processor ho sebelisa litaelo tse bonolo ka ho fetisisa. E etsahala hape ka microcode likokoana-hloko. Kahoo ho BIOS u ka fumana li-binaries tse nang le lisebelisoa tsa microcode (tse koahetsoeng nakong ea boot, kaha ROM e ke ke ea ngoloa). Likahare tsa li-binaries tsena li patiloe, e leng se thatafatsang tlhahlobo haholo (ka hona, litaba tse khethehileng tsa microcode li tsejoa feela ke ba li hlahisang), 'me li saennoe ho laola botšepehi le bonnete;
  • Senotlolo sa AES bakeng sa ho hlakola litaba tsa liapdeite tsa microcode;
  • hash ea senotlolo sa sechaba sa RSA se sebelisetsoang ho netefatsa ho saena ha liapdeite tsa microcode;
  • RSA public key hash, e netefatsang ho saena ha Intel-developed ACM (Authenticated Code Module) code modules, eo CPU e ka e hlahisang pele ho ts'ebetso ea BIOS (hello microcode) kapa nakong ea ts'ebetso ea eona, ha liketsahalo tse itseng li etsahala.

Intel ME

Blog ea rona e nehetsoe ho subsystem ena tse peli Lingoloa. A re hopoleng hore tikoloho ena e ka phethisoang e thehiloe holim'a microcontroller e hahiloeng ka har'a chipset mme ke eona e patiloeng le e nang le tokelo ka ho fetisisa tsamaisong.

Leha e le lekunutu, Intel ME le eona ke motso oa ts'epo hobane e na le:

  • ME ROM - memori e sa fetoheng, e sa ngoloang hape (ha ho na mokhoa oa ntlafatso o fanoeng) o nang le khoutu ea ho qala, hammoho le SHA256 hash ea senotlolo sa sechaba sa RSA, se netefatsang ho saena ha firmware ea Intel ME;
  • AES senotlolo sa ho boloka boitsebiso ba lekunutu;
  • phihlello ea sete ea li-fuse (FPFs, Field Programmable Fuse) tse kentsoeng ka har'a chipset bakeng sa polokelo ea ka ho sa feleng ea tlhahisoleseling e itseng, ho kenyelletsa le e boletsoeng ke morekisi oa sistimi ea khomphutha.

Intel Boot Guard 1.x

Tlhaloso e nyane. Linomoro tsa mofuta oa mahlale a Intel Boot Guard tseo re li sebelisang sengolong sena ha li na mabaka 'me li kanna tsa se amane letho le lipalo tse sebelisitsoeng litokomaneng tsa kahare tsa Intel. Ho phaella moo, tlhahisoleseding e fanoeng mona mabapi le ts'ebetsong ea theknoloji ena e ile ea fumanoa nakong ea boenjiniere ba morao-rao, 'me e ka' na ea e-ba le ho se nepahale ha ho bapisoa le tlhaloso ea Intel Boot Guard, eo ho seng bonolo hore e hatisoe.

Kahoo, Intel Boot Guard (BG) ke theknoloji ea netefatso ea UEFI BIOS e tšehelitsoeng ka hardware. Ho latela tlhaloso ea eona e khuts'oane bukeng ea [Platform Embedded Security Technology Revealed, chapter Boot with Integrity, kapa Not Boot], e sebetsa e le ketane ea boot e tšeptjoang. 'Me sehokelo sa pele ho eona ke khoutu ea boot (microcode) ka hare ho CPU, e hlahisoang ke ketsahalo ea RESET (e se ke ea ferekanngoa le RESET vector ho BIOS!). CPU e fumana module ea khoutu e ntlafalitsoeng le e saennoeng ke Intel (Intel BG startup ACM) ho SPI flash memory, e kenya ka har'a cache ea eona, e netefatsa (ho ne ho se ho boletsoe ka holimo hore CPU e na le hash ea senotlolo sa sechaba se netefatsang ACM. signature) le ho qala.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard

Mojule ona oa khoutu o ikarabella ho netefatsa karolo e nyane ea ho qala ea UEFI BIOS - Initial Boot Block (IBB), eo le eona e nang le ts'ebetso ea ho netefatsa karolo e kholo ea UEFI BIOS. Kahoo, Intel BG e u lumella ho netefatsa bonnete ba BIOS pele u kenya OS (e ka etsoang tlas'a tlhokomelo ea theknoloji ea Secure Boot).

Theknoloji ea Intel BG e fana ka mekhoa e 'meli ea ts'ebetso ('me e' ngoe ha e kena-kenane le e 'ngoe, ke hore mekhoa ka bobeli e ka lumelloa tsamaisong, kapa ka bobeli e ka holofala).

Measured Boot

Ka mokhoa oa Measured Boot (MB), karolo e 'ngoe le e' ngoe ea boot (ho qala ka CPU boot ROM) "e lekanya" e latelang e sebelisa bokhoni ba TPM (Trusted Platform Module). Bakeng sa ba sa tsebeng, e-re ke hlalose.

TPM e na le li-PCR (Platform Configuration Registers), moo sephetho sa ts'ebetso ea hashing se ngotsoeng ho latela foromo:

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard

Tseo. boleng ba hona joale ba PCR bo itšetlehile ka e fetileng, 'me li-registas tsena li tsosolosoa feela ha tsamaiso e RESET.

Kahoo, ka mokhoa oa MB, ka nako e itseng, li-PCR li bonahatsa sekhetho se ikhethang (ka har'a bokhoni ba ts'ebetso ea hashing) ea khoutu kapa data e "lekanyelitsoeng." Litekanyetso tsa PCR li ka sebelisoa ts'ebetsong ea encryption ea data (TPM_Seal). Kamora moo, decryption ea bona (TPM_Unseal) e tla khonahala ha feela litekanyetso tsa PCR li sa fetohe ka lebaka la ho kenya (ke hore, ha ho karolo e le 'ngoe ea "tekanyo" e fetotsoeng).

Boot e netefalitsoeng

Ntho e mpe ka ho fetisisa bakeng sa ba ratang ho fetola UEFI BIOS ke mokhoa oa Verified Boot (VB), oo karolo e 'ngoe le e' ngoe ea boot e netefatsang botšepehi le bonnete ba e latelang. 'Me haeba ho na le phoso ea ho netefatsa, (e' ngoe ea) e etsahala:

  • ho koala ka nako ho tloha metsotso e 1 ho isa ho metsotso e 30 (e le hore mosebedisi a be le nako ea ho utloisisa hore na ke hobane'ng ha khomphuta ea hae e sa qale, 'me, haeba ho khoneha, e leka ho tsosolosa BIOS);
  • ho koala hang-hang (e le hore mosebeletsi a se ke a ba le nako ea ho utloisisa, ho fokotsa ho etsa eng kapa eng);
  • ho tsoela pele ho sebetsa ka polelo e khutsitseng (nyeoe eo ha ho se na nako ea polokeho, hobane ho na le lintho tsa bohlokoa tse lokelang ho etsoa).

Khetho ea ketso e itšetlehile ka tlhophiso e boletsoeng ea Intel BG (e leng, ho seo ho thoeng ke leano la ts'ebetsong), e ngotsoeng ka ho sa feleng ke morekisi oa sethaleng sa k'homphieutha sebakeng sa polokelo e khethehileng - li-chipset fuse (FPFs). Re tla bua haholoanyane ka taba ena hamorao.

Ntle le tlhophiso, morekisi o hlahisa linotlolo tse peli tsa RSA 2048 mme o theha likarolo tse peli tsa data (tse bontšitsoeng setšoantšong):

  1. Pontšo ea senotlolo sa morekisi (KEYM, OEM Root Key Manifest), e nang le SVN (Security Version Number) ea manifesto ena, SHA256 hash ea senotlolo sa sechaba sa manifesto e latelang, senotlolo sa RSA sa sechaba (ke hore, karolo ea sechaba ea vendor's root key) ho netefatsa tshaeno ea manifesto ena le tekeno ka boeona;
  2. IBB Manifest (IBBM, Initial Boot Block Manifest), e nang le SVN ea manifesto ena, SHA256 hash ea IBB, senotlolo sa sechaba sa ho netefatsa tekeno ea manifesto ena le tekeno ka boeona.

SHA256 hash ea senotlolo sa sechaba sa OEM Root Key e tlalehiloe ka ho sa feleng ho li-chipset fuse (FPFs), joalo ka tlhophiso ea Intel BG. Haeba tlhophiso ea Intel BG e fana ka ho kenyelletsoa ha thekenoloji ena, joale ho tloha joale ho ea pele ke mong'a karolo ea poraefete ea OEM Root Key a ka ntlafatsang BIOS tsamaisong ena (ke hore, ho khona ho tsosolosa lipontšo tsena), ke hore. morekisi.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard

Ha u sheba setšoantšo, lipelaelo li hlaha hang-hang mabapi le tlhoko ea ketane e telele joalo ea netefatso - ba ka be ba sebelisitse pontšo e le 'ngoe. Hobaneng ho thatafatsa lintho?

Ebile, Intel ka hona e fa morekisi monyetla oa ho sebelisa linotlolo tsa IBB tse fapaneng bakeng sa mela e fapaneng ea lihlahisoa tsa eona mme e le 'ngoe e le senotlolo sa motso. Haeba karolo ea poraefete ea senotlolo sa IBB (eo pontšo ea bobeli e saenneng) e lutla, ketsahalo e tla ama mohala o le mong feela oa sehlahisoa ho fihlela morekisi a hlahisa para e ncha mme a kenyelletsa lipontšo tse baliloeng bocha ntlafatsong e latelang ea BIOS.

Empa haeba senotlolo sa motso (seo lengolo la pele le saenneng) se senyehile, ho ke ke ha khoneha hore se nkeloe sebaka; ha ho na mokhoa oa ho hlakoloa o fanoeng. Hashe ea karolo ea sechaba ea senotlolo sena e hlophisitsoe ho FPF hang le ka ho sa feleng.

Tlhophiso ea Intel Boot Guard

Joale ha re shebisiseng tlhophiso ea Intel BG le mokhoa oa ho e theha. Haeba u sheba tabo e lumellanang ho GUI ea sesebelisoa sa Flash Image Tool ho tsoa ho Intel System Tool Kit (STK), u tla hlokomela hore tlhophiso ea Intel BG e kenyelletsa hash ea karolo ea sechaba ea senotlolo sa morekisi, tse seng kae boleng bo sa hlakang, jj. Boemo ba Intel BG.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard

Sebopeho sa profil ena:

typedef struct BG_PROFILE
{
	unsigned long Force_Boot_Guard_ACM : 1;
	unsigned long Verified_Boot : 1;
	unsigned long Measured_Boot : 1;
	unsigned long Protect_BIOS_Environment : 1;
	unsigned long Enforcement_Policy : 2; // 00b – do nothing
                                              // 01b – shutdown with timeout
                                              // 11b – immediate shutdown
	unsigned long : 26;
};

Ka kakaretso, tlhophiso ea Intel BG ke setheo se tenyetsehang haholo. Ka mohlala, nahana ka folakha ea Force_Boot_Guard_ACM. Ha e tlosoa, haeba mochine oa ACM oa ho qala BG ho SPI flash o sa fumanehe, ha ho na boot bo tšeptjoang bo tla etsahala. O tla be a sa tshepehe.

Re se re ngotse ka holimo hore leano la ts'ebetsong bakeng sa mokhoa oa VB le ka lokisoa e le hore haeba ho e-na le phoso ea ho netefatsa, ho tla etsahala download e sa tšepahaleng.

Tlohela lintho tse joalo ka boikhethelo ba barekisi ...

Sesebelisoa sa GUI se fana ka lintlha tse latelang "tse seng li entsoe":

Nomoro
Mokhoa
tlhaloso

0
Che_FVME
Theknoloji ea Intel BG e holofetse

1
VE
Mokhoa oa VB o nolofalitsoe, o tima ka nako

2
VME
mekhoa ka bobeli e nolofalitsoe (VB le MB), koala ka nako ea nako

3
VM
mekhoa ka bobeli li nolofalitsoe, ntle le ho tima tsamaiso

4
FVE
Mokhoa oa VB o lumelletsoe, ho koala hanghang

5
FVME
mekhoa ka bobeli e nolofalitsoe, ho koala hanghang

Joalokaha ho se ho boletsoe, tlhophiso ea Intel BG e tlameha ho ngoloa hang le ka ho sa feleng ke morekisi oa sistimi ho li-chipset fuse (FPFs) - e nyane (ho latela tlhaiso-leseling e sa netefatsoang, ke li-byte tse 256 feela) polokelo ea tlhahisoleseling ka har'a chipset, e ka hlophisoang. kantle ho meaho ea tlhahiso ea Intel (ke ka lebaka leo hantle Field Programmable Fuse).

E ntle bakeng sa ho boloka tlhophiso hobane:

  • e na le sebaka se hlophisitsoeng sa nako e le 'ngoe bakeng sa ho boloka data (hantle-ntle moo tlhophiso ea Intel BG e ngotsoeng teng);
  • Ke Intel ME feela e ka e balang le ho e hlophisa.

Kahoo, molemong oa ho beha tlhophiso ea theknoloji ea Intel BG ho sistimi e itseng, morekisi o etsa tse latelang nakong ea tlhahiso:

  1. U sebelisa sesebelisoa sa Flash Image Tool (ho tloha Intel STK), se etsa setšoantšo sa firmware se nang le tlhophiso ea Intel BG e fanoeng ka mokhoa oa mefuta-futa ka hare ho sebaka sa Intel ME (seo ho thoeng ke seipone sa nakoana bakeng sa FPFs);
  2. E sebelisa sesebelisoa sa Flash Programming Tool (ho tloha Intel STK), e ngola setšoantšo sena ho memori ea flash ea SPI mme e koala se bitsoang. mokhoa oa tlhahiso (tabeng ena, taelo e tsamaisanang e romelloa ho Intel ME).

Ka lebaka la ts'ebetso ena, Intel ME e tla fana ka litekanyetso tse boletsoeng ho tloha seiponeng bakeng sa FPFs sebakeng sa ME ho ea ho FPFs, e behe liqeto ka litlhaloso tsa SPI flash ho litekanyetso tse khothalelitsoeng ke Intel (tse hlalositsoeng qalong ea article) 'me u etse RESET ea tsamaiso.

Tlhahlobo ea ts'ebetsong ea Intel Boot Guard

Bakeng sa ho sekaseka ts'ebetsong ea theknoloji ena re sebelisa mohlala o itseng, re ile ra hlahloba mekhoa e latelang bakeng sa mekhoa ea theknoloji ea Intel BG:

tsamaiso e khopo
mantsoe

Gigabyte GA-H170-D3H
Skylake, ho na le tšehetso

Gigabyte GA-Q170-D3H
Skylake, ho na le tšehetso

Gigabyte GA-B150-HD3
Skylake, ho na le tšehetso

MSI H170A Gaming Pro
Skylake, ha ho na tšehetso

Lenovo ThinkPad 460
Skylake, e tšehetsoeng, theknoloji e nolofalitsoe

Lenovo Yoga 2 Pro
Haswell, ha ho na tšehetso

Lenovo U330p
Haswell, ha ho na tšehetso

Ka "ts'ehetso" re bolela ho ba teng ha Intel BG startup ACM module, lipontšo tse boletsoeng ka holimo le khoutu e lumellanang le BIOS, ke hore. phethahatso bakeng sa tlhahlobo.

E le mohlala, ha re nke e kopilitsoeng ofising. setšoantšo sa marang-rang sa barekisi sa SPI flash memory bakeng sa Gigabyte GA-H170-D3H (mofuta oa F4).

Intel CPU boot ROM

Pele ho tsohle, ha re bue ka liketso tsa processor haeba theknoloji ea Intel BG e nolofalitsoe.

Ho ne ho sa khonehe ho fumana lisampole tsa microcode e sirelelitsoeng, kahoo hore na liketso tse hlalositsoeng ka tlase li kengoa ts'ebetsong joang (ka microcode kapa hardware) ke potso e bulehileng. Leha ho le joalo, ke 'nete hore li-processor tsa morao-rao tsa Intel "li ka" etsa liketso tsena.

Ka mor'a ho tsoa sebakeng sa RESET, processor (tse ka hare ho memori ea flash e se e ngotsoe sebakeng sa aterese) e fumana tafole ea FIT (Firmware Interface Table). Ho bonolo ho e fumana; sesupo sa eona se ngotsoe atereseng FFFF FFC0h.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Mohlala o ntseng o nahanoa, boleng ba FFD6 9500h bo fumaneha atereseng ena. Ka ho fihlella atereseng ena, processor e bona tafole ea FIT, tse ka hare ho eona li arotsoe ka litlaleho. Taba ea pele ke sehlooho sa sebopeho se latelang:

typedef struct FIT_HEADER
{
	char           Tag[8];     // ‘_FIT_   ’
	unsigned long  NumEntries; // including FIT header entry
	unsigned short Version;    // 1.0
	unsigned char  EntryType;  // 0
	unsigned char  Checksum;
};

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Ka mabaka a sa tsejoeng, chequesum ha e baloe kamehla litafoleng tsena (tšimo e siuoa ke zero).

Menyako e setseng e supa li-binaries tse fapaneng tse hlokang ho aroloa / ho etsoa pele BIOS e etsoa, ​​ke hore. pele o fetela ho "RESET vector" ea lefa (FFFF FFF0h). Sebopeho sa ntho e 'ngoe le e' ngoe e joalo ke e latelang:

typedef struct FIT_ENTRY
{
	unsigned long  BaseAddress;
	unsigned long  : 32;
	unsigned long  Size;
	unsigned short Version;     // 1.0
	unsigned char  EntryType;
	unsigned char  Checksum;
};

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Sebaka sa EntryType se u bolella mofuta oa thibelo eo ho kena ho eona. Re tseba mefuta e 'maloa:

enum FIT_ENTRY_TYPES
{
	FIT_HEADER = 0,
	MICROCODE_UPDATE,
	BG_ACM,
	BIOS_INIT = 7,
	TPM_POLICY,
	BIOS_POLICY,
	TXT_POLICY,
	BG_KEYM,
	BG_IBBM
};

Joale ho hlakile hore e 'ngoe ea likenyo e supa sebaka seo Intel BG e qalang ACM binary. Sebopeho sa lihlooho tsa binary sena se tloaelehile bakeng sa li-module tsa khoutu tse entsoeng ke Intel (ACMs, microcode updates, Intel ME code sections, ...).

typedef struct BG_ACM_HEADER
{
	unsigned short ModuleType;     // 2
	unsigned short ModuleSubType;  // 3
	unsigned long  HeaderLength;   // in dwords
	unsigned long  : 32;
	unsigned long  : 32;
	unsigned long  ModuleVendor;   // 8086h
	unsigned long  Date;           // in BCD format
	unsigned long  TotalSize;      // in dwords
	unsigned long  unknown1[6];
	unsigned long  EntryPoint;
	unsigned long  unknown2[16];
	unsigned long  RsaKeySize;     // in dwords
	unsigned long  ScratchSize;    // in dwords
	unsigned char  RsaPubMod[256];
	unsigned long  RsaPubExp;
	unsigned char  RsaSig[256];
};

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Mochine o kenya binary ena ka har'a cache ea eona, oa e netefatsa ebe oa e tsamaisa.

Intel BG e qala ACM

Ka lebaka la ho sekaseka mosebetsi oa ACM ena, ho ile ha totobala hore e etsa tse latelang:

  • e amohela tlhophiso ea Intel BG ho tsoa ho Intel ME, e ngotsoeng ka har'a li-chipset fuse (FPFs);
  • e fumana KEYM le IBBM e hlahisa le ho li netefatsa.

Ho fumana lipontšo tsena, ACM e sebelisa tafole ea FIT, e nang le mefuta e 'meli ea ho kenya ho bonts'a lintlha tsa sebopeho (sheba FIT_ENTRY_TYPES ka holimo).

Ha re shebeng li-manifesto ka botebo. Ka sebopeho sa ponahatso ea pele, re bona likarolo tse 'maloa tse sa bonahaleng, hash ea senotlolo sa sechaba ho tsoa ho ponahatso ea bobeli, le OEM Root Key ea sechaba e saennoeng e le sebopeho sa sehlaha:

typedef struct KEY_MANIFEST
{
	char           Tag[8];          // ‘__KEYM__’
	unsigned char  : 8;             // 10h
	unsigned char  : 8;             // 10h
	unsigned char  : 8;             // 0
	unsigned char  : 8;             // 1
	unsigned short : 16;            // 0Bh
	unsigned short : 16;            // 20h == hash size?
	unsigned char  IbbmKeyHash[32]; // SHA256 of an IBBM public key
	BG_RSA_ENTRY   OemRootKey;
};

typedef struct BG_RSA_ENTRY
{
	unsigned char  : 8;             // 10h
	unsigned short : 16;            // 1
	unsigned char  : 8;             // 10h
	unsigned short RsaPubKeySize;   // 800h
	unsigned long  RsaPubExp;
	unsigned char  RsaPubKey[256];
	unsigned short : 16;            // 14
	unsigned char  : 8;             // 10h
	unsigned short RsaSigSize;      // 800h
	unsigned short : 16;            // 0Bh
	unsigned char  RsaSig[256];
};

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Ho netefatsa senotlolo sa sechaba sa OEM Root Key, re hopola hore re sebelisa SHA256 hash ea fuse, eo hajoale e seng e amohetsoe ho tsoa ho Intel ME.

Ha re feteleng ho manifesto ea bobeli. E na le libopeho tse tharo:

typedef struct IBB_MANIFEST
{
	ACBP Acbp;         // Boot policies
	IBBS Ibbs;         // IBB description
	IBB_DESCRIPTORS[];
	PMSG Pmsg;         // IBBM signature
};

Ea pele e na le li-constants tse ling:

typedef struct ACBP
{
	char           Tag[8];          // ‘__ACBP__’
	unsigned char  : 8;             // 10h
	unsigned char  : 8;             // 1
	unsigned char  : 8;             // 10h
	unsigned char  : 8;             // 0
	unsigned short : 16;            // x & F0h = 0
	unsigned short : 16;            // 0 < x <= 400h
};

Ea bobeli e na le SHA256 hash ea IBB le palo ea litlhaloso tse hlalosang likahare tsa IBB (ke hore, hore na hash e baloa ho tsoa hokae):

typedef struct IBBS
{
	char           Tag[8];            // ‘__IBBS__’
	unsigned char  : 8;               // 10h
	unsigned char  : 8;               // 0
	unsigned char  : 8;               // 0
	unsigned char  : 8;               // x <= 0Fh
	unsigned long  : 32;              // x & FFFFFFF8h = 0
	unsigned long  Unknown[20];
	unsigned short : 16;              // 0Bh
	unsigned short : 16;              // 20h == hash size ?
	unsigned char  IbbHash[32];       // SHA256 of an IBB
	unsigned char  NumIbbDescriptors;
};

Litlhaloso tsa IBB li latela sebopeho sena, ka ho latellana. Likahare tsa tsona li na le sebopeho se latelang:

typedef struct IBB_DESCRIPTOR
{
	unsigned long  : 32;
	unsigned long  BaseAddress;
	unsigned long  Size;
};

Ho bonolo: tlhaloso e 'ngoe le e' ngoe e na le aterese / boholo ba karolo ea IBB. Ka hona, ho kopana ha li-blocks tse bontšitsoeng ke litlhaloso tsena (ka tatellano ea litlhaloso ka botsona) ke IBB. 'Me, joalo ka molao, IBB ke pokello ea li-module tsohle tsa mekhahlelo ea SEC le PEI.

Pontšo ea bobeli e phetheloa ke sebopeho se nang le senotlolo sa sechaba sa IBB (se netefalitsoeng ke SHA256 hash ho tsoa ho ponahatso ea pele) le mosaeno oa manifesto ena:

typedef struct PMSG
{
	char           Tag[8];            // ‘__PMSG__’
	unsigned char  : 8;               // 10h
	BG_RSA_ENTRY   IbbKey;
};

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Kahoo, le pele UEFI BIOS e qala ho sebetsa, processor e tla qala ACM, e tla netefatsa bonnete ba litaba tsa likarolo tse nang le khoutu ea karolo ea SEC le PEI. Ka mor'a moo, processor e tsoa ACM, e latela vector ea RESET mme e qala ho phethahatsa BIOS.

Karolo e netefalitsoeng ea PEI e tlameha ho ba le mojule o tla hlahloba karolo e setseng ea BIOS (DXE khoutu). Mojule ona o se o ntse o ntlafatsoa ke IBV (Morekisi ea Ikemetseng oa BIOS) kapa morekisi oa sistimi ka boeena. Hobane Ke lits'ebetso tsa Lenovo le Gigabyte feela tse neng li le teng ho rona mme re na le tšehetso ea Intel BG; ha re shebeng khoutu e ntšitsoeng lits'ebetsong tsena.

UEFI BIOS module LenovoVerifiedBootPei

Tabeng ea Lenovo, ho ile ha fumaneha hore ke LenovoVerifiedBootPei module {B9F2AC77-54C7-4075-B42E-C36325A9468D}, e entsoeng ke Lenovo.

Mosebetsi oa eona ke ho sheba (ka GUID) tafole ea hash bakeng sa DXE le ho netefatsa DXE.

if (EFI_PEI_SERVICES->GetBootMode() != BOOT_ON_S3_RESUME)
{
	if (!FindHashTable())
		return EFI_NOT_FOUND;
	if (!VerifyDxe())
		return EFI_SECURITY_VIOLATION;
}

Хеш таблица {389CC6F2-1EA8-467B-AB8A-78E769AE2A15} имеет следующий формат:

typedef struct HASH_TABLE
{
	char          Tag[8];            // ‘$HASHTBL’
	unsigned long NumDxeDescriptors;
	DXE_DESCRIPTORS[];
};

typedef struct DXE_DESCRIPTOR
{
	unsigned char BlockHash[32];     // SHA256
	unsigned long Offset;
	unsigned long Size;
};

UEFI BIOS module BootGuardPei

Tabeng ea Gigabyte, e ile ea e-ba module oa BootGuardPei {B41956E1-7CA2-42DB-9562-168389F0F066}, e entsoeng ke AMI, ka hona, e teng ho BIOS efe kapa efe ea AMI ka tšehetso ea Intel BG.

Algorithm ea eona ea ts'ebetso e batla e fapane, leha ho le joalo, e theohela nthong e le 'ngoe:

int bootMode = EFI_PEI_SERVICES->GetBootMode();

if (bootMode != BOOT_ON_S3_RESUME &&
    bootMode != BOOT_ON_FLASH_UPDATE &&
    bootMode != BOOT_IN_RECOVERY_MODE)
{
	HOB* h = CreateHob();
	if (!FindHashTable())
		return EFI_NOT_FOUND;
	WriteHob(&h, VerifyDxe());
	return h;
}

Tafole ea hash {389CC6F2-1EA8-467B-AB8A-78E769AE2A15} eo e e batlang e na le sebopeho se latelang:

typedef HASH_TABLE DXE_DESCRIPTORS[];

typedef struct DXE_DESCRIPTOR
{
	unsigned char BlockHash[32];     // SHA256
	unsigned long BaseAddress;
	unsigned long Size;
};

Intel Boot Guard 2.x

Ha re bue ka bokhutšoanyane ka ts'ebetsong e 'ngoe ea Intel Boot Guard, e fumanoeng tsamaisong e ncha e thehiloeng ho Intel SoC e nang le microarchitecture ea Apollo Lake - ASRock J4205-IT.

Leha mofuta ona o tla sebelisoa feela ho SoCs (lits'ebetso tse ncha tse nang le processor ea Kaby Lake microarchitecture e ntse e tsoela pele ho sebelisa Intel Boot Guard 1.x), ho na le thahasello e kholo ho ithuteng khetho e ncha ea meralo ea li-platform tsa Intel SoC, tse boneng liphetoho tse kholo. mohlala:

  • libaka tsa BIOS le Intel ME (kapa ho fapana le Intel TXE, ho latela poleloana ea Intel SoC) joale ke sebaka se le seng sa IFWI;
  • le hoja Intel BG e ne e nolofalitsoe sethaleng, mehaho e kang FIT, KEYM, IBBM ha ea ka ea fumanoa mohopolong oa flash;
  • ho phaella ho li-cores tsa TXE le ISH (x86), motheo oa boraro o ile oa eketsoa chipset (ARC hape, ka tsela) - PMC (Power Management Controller), e amanang le ho netefatsa ts'ebetso ea tsamaiso ea motlakase le ho hlahloba ts'ebetso.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Litaba tsa sebaka se secha sa IFWI ke sehlopha sa li-module tse latelang:

Leeme
lebitso la
tlhaloso

0000h
SMIP
tlhophiso e itseng ea sethala, e saennoeng ke morekisi

0000h
RBEP
Karolo ea khoutu ea firmware ea Intel TXE, x86, e saenetse Intel

0001h
PMCP
Karolo ea khoutu ea firmware ea Intel PMC, ARC, e saenetse Intel

0002h
FTPR
Karolo ea khoutu ea firmware ea Intel TXE, x86, e saenetse Intel

0007 B000h
UCOD
lintlafatso tsa microcode bakeng sa CPU, tse saennoeng ke Intel

0008h
IBBP
UEFI BIOS, mekhahlelo ea SEC/PEI, x86, e saennoeng ke morekisi

0021h
ISHC
Karolo ea khoutu ea firmware ea Intel ISH, x86, e saennoeng ke morekisi

0025h
NFTP
Karolo ea khoutu ea firmware ea Intel TXE, x86, e saenetse Intel

0036h
IUNP
ha e tsejoe

0038h
OBBP
UEFI BIOS, DXE phase, x86, e sa saena

Nakong ea tlhahlobo ea firmware ea TXE, ho ile ha totobala hore ka mor'a RESET, TXE e boloka processor sebakeng sena ho fihlela e lokisetsa litaba tsa motheo tsa sebaka sa aterese bakeng sa CPU (FIT, ACM, RESET vector ...). Ho feta moo, TXE e beha data ena ho SRAM ea eona, ka mor'a moo e fa processor ka nakoana phihlello moo ebe e "e lokolla" ho RESET.

Itebele khahlanong le li-rootkits

Joale ha re feteleng pele ho lintho tse "chesang". Re kile ra fumana hore lits'ebetsong tse ngata, litlhaloso tsa SPI flash li na le tumello ea ho fihlella libaka tsa memori ea flash ea SPI e le hore basebelisi bohle ba mohopolo ona ba ka ngola le ho bala sebaka sefe kapa sefe. Tseo. ho hang.

Ka mor'a ho hlahloba lisebelisoa tsa MEinfo (ho tloha Intel STK), re bone hore mokhoa oa ho etsa litsamaiso tsena ha o koaloe, ka hona, li-chipset fuses (FPFs) li siiloe boemong bo sa hlalosoang. Ee, Intel BG ha e butsoe kapa hona ho tima maemong a joalo.

Re bua ka litsamaiso tse latelang (mabapi le Intel BG le se tla hlalosoa hamorao sehloohong, re tla bua ka litsamaiso tse nang le Haswell processor microarchitecture le holimo):

  • lihlahisoa tsohle tsa Gigabyte;
  • lihlahisoa tsohle tsa MSI;
  • Mefuta e 21 ea lilaptop tsa Lenovo le mefuta e 4 ea li-server tsa Lenovo.

Ehlile, re tlalehile ho sibolloa ho barekisi bana, hammoho le Intel.

Karabelo e lekaneng e ile ea tsoa feela Lenovoea ileng a hlokomela bothata le e lokollotse patch.

kikabaete Ba ne ba bonahala ba amohela tlhahisoleseling mabapi le ts'oaetso, empa ha ba ka ba fana ka maikutlo ka tsela efe kapa efe.

Puisano le MSI e emisitsoe ka botlalo kopong ea rona ea ho romella senotlolo sa hau sa sechaba sa PGP (ho ba romella likeletso tsa ts'ireletso ka mokhoa o patiloeng). Ba boletse hore "ke moetsi oa lisebelisoa 'me ha ba hlahise linotlolo tsa PGP."

Empa ha re fihle tabeng. Kaha li-fuse li siiloe sebakeng se sa hlalosoang, mosebelisi (kapa mohlaseli) a ka li hlophisa ka boithaopo (ntho e thata ka ho fetisisa ke fumana Intel STK). Ho etsa sena, o hloka ho tlatsa mehato e latelang.

1. Qala ho Windows OS (ka kakaretso, liketso tse hlalositsoeng ka tlase li ka boela tsa etsoa tlas'a Linux, haeba u hlahisa analogue ea Intel STK bakeng sa OS e lakatsehang). U sebelisa sesebelisoa sa MEinfo, etsa bonnete ba hore li-fuse ha lia hlophisoa tsamaisong ena.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
2. Bala litaba tsa memori ea flash u sebelisa Flash Programming Tool.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
3. Bula setšoantšo se baloang u sebelisa sesebelisoa leha e le sefe sa ho hlophisa sa UEFI BIOS, etsa liphetoho tse hlokahalang (tsebisa rootkit, mohlala), theha / fetola mekhoa e teng ea KEYM le IBBM sebakeng sa ME.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Setšoantšo se totobatsa karolo ea sechaba ea senotlolo sa RSA, hashe ea eona e tla hlophisoa ka har'a li-fuse tsa chipset hammoho le tlhophiso eohle ea Intel BG.

4. U sebelisa Flash Image Tool, haha ​​​​setšoantšo se secha sa firmware (ka ho beha tlhophiso ea Intel BG).

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
5. Ngola sets'oants'o se secha ho memori ea Flash u sebelisa Flash Programming Tool, 'me u netefatse ho sebelisa MEinfo hore sebaka sa ME joale se na le tlhophiso ea Intel BG.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
6. Sebelisa Flash Programming Tool ho koala mokhoa oa tlhahiso.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
7. Sistimi e tla qala hape, ka mor'a moo o ka sebelisa MEinfo ho netefatsa hore li-FPF li se li hlophisitsoe.

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Ketso tsena ka ho sa feleng thusa Intel BG tsamaisong ena. Ketso e ke ke ea etsolloa, ho bolelang:

  • Ke mong'a karolo ea poraefete ea senotlolo sa motso (ke hore, ea nolofalitseng Intel BG) ea tla khona ho ntlafatsa UEFI BIOS tsamaisong ena;
  • haeba u khutlisetsa firmware ea pele tsamaisong ena, ka mohlala, u sebelisa moqapi, e ke ke ea bulela (litlamorao tsa leano la ts'ebetsong haeba ho na le phoso ea ho netefatsa);
  • Ho tlosa UEFI BIOS e joalo, o hloka ho nkela chipset sebaka ka li-FPF tse hlophisitsoeng ka "hloekileng" (ke hore, resolder chipset haeba u khona ho fihla seteisheneng sa thekiso ea thepa ea infrared theko ea koloi, kapa u nke sebaka sa "motherboard". ).

Ho utloisisa seo rootkit e joalo e ka se etsang, u lokela ho hlahloba hore na ke eng e etsang hore ho khonehe ho phethahatsa khoutu ea hau sebakeng sa UEFI BIOS. Ha re re, ka mokhoa oa processor o lehlohonolo haholo - SMM. Rootkit e joalo e ka ba le thepa e latelang:

  • e phethiloe ka tsela e ts'oanang le OS (o ka hlophisa ts'ebetso ho hlahisa tšitiso ea SMI, e tla hlahisoa ke sebali sa nako);
  • ho ba le melemo eohle ea ho ba ka mokhoa oa SMM (ho fihlella ka botlalo ho litaba tsa RAM le lisebelisoa tsa hardware, sephiri se tsoang ho OS);
  • Khoutu ea lenaneo la rootkit e ka ngolisoa le ho hlakoloa ha e qala ka mokhoa oa SMM. Lintlha tsohle tse fumanehang feela ka mokhoa oa SMM li ka sebelisoa e le senotlolo sa encryption. Mohlala, hashe ho tsoa ho sete ea liaterese ho SMRAM. Ho fumana senotlolo sena, o tla hloka ho kena ho SMM. 'Me sena se ka etsoa ka litsela tse peli. Fumana RCE khoutung ea SMM 'me u e sebelise hampe, kapa u kenye module ea hau ea SMM ho BIOS, e leng ntho e ke keng ea khoneha kaha re ile ra nolofalletsa Boot Guard.

Kahoo, ts'oaetso ena e lumella mohlaseli ho:

  • theha rootkit e patiloeng, e ke keng ea hlakoloa ea morero o sa tsejoeng tsamaisong;
  • etsa khoutu ea hau ho e 'ngoe ea li-chipset cores ka hare ho Intel SoC, e leng, ho Intel ISH (sheba setšoantšo ka hloko).

Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Khoasollo e Tšeptjoang ea Schrödinger. Intel Boot Guard
Le hoja bokhoni ba Intel ISH subsystem bo e-s'o hlahlojoe, ho bonahala e le vector e thahasellisang ea tlhaselo ea Intel ME.

fumanoeng ke

  1. Boithuto bona bo entse hore ho khonehe ho fumana tlhaloso ea tekheniki ea ts'ebetso ea theknoloji ea Intel Boot Guard. Tlosa liphiri tse 'maloa ts'ireletso ea Intel ka mokhoa o sa bonahaleng.
  2. Ho hlahisoa boemo ba tlhaselo bo u lumellang hore u thehe rootkit e sa kengoang tsamaisong.
  3. Re bone hore li-processor tsa morao-rao tsa Intel li khona ho sebelisa khoutu e ngata ea thepa le pele BIOS e qala ho sebetsa.
  4. Li-platform tse nang le meralo ea Intel 64 li ntse li fokotseha ebile li sa tšoanelehe bakeng sa ho tsamaisa software ea mahala: netefatso ea lisebelisoa, palo e ntseng e eketseha ea mahlale a thekenoloji le li-subsystems (li-cores tse tharo ho chipset ea SoC: x86 ME, x86 ISH le ARC PMC).

Mathata

Barekisi ba tlohelang mokhoa oa ho etsa ka boomo o butsoe ba lokela ho etsa bonnete ba hore ba o koala. Ho fihlela joale, mahlo a bona feela a koetsoe, 'me mekhoa e mecha ea Kaby Lake e bontša sena.

Basebelisi ba ka tima Intel BG lits'ebetsong tsa bona (tse hlaselehang habonolo) ka ho sebelisa Flash Programming Tool ka -closemnf parameter. Taba ea pele, o lokela ho etsa bonnete ba (ho sebelisa MEinfo) hore tlhophiso ea Intel BG sebakeng sa ME e fana ka ho tima theknoloji ena kamora ho etsa mananeo ho FPF.

Source: www.habr.com

Eketsa ka tlhaloso