Puisano: Morero oa OpenROAD o ikemiselitse ho rarolla bothata ba boits'oaro ba moralo oa processor

Puisano: Morero oa OpenROAD o ikemiselitse ho rarolla bothata ba boits'oaro ba moralo oa processor
--Ото - Li-pexels - CC BY

Ka data PWC, 'maraka oa theknoloji ea semiconductor oa hola - selemong se fetileng o fihlile ho $481 bilione. Empa sekhahla sa kholo ea eona haufinyane fokotseha. Mabaka a ho fokotseha a kenyelletsa mekhoa e ferekanyang ea moralo oa lisebelisoa le khaello ea boiketsetso.

Lilemong tse 'maloa tse fetileng, baenjiniere ba Intel o ngotsehore ha u theha microprocessor e sebetsang hantle u tlameha ho sebelisa lisebelisoa tse fapaneng tsa software tse 100-150 (LE TSOA). Boemo bo ka mpefala tabeng ea lisebelisoa tse sa tšoaneng, tse nang le mefuta e mengata e fapaneng ea li-chips - ASIC, FPGA, CPU kapa GPU. Ka lebaka leo, liphoso tsa moralo li etsahala tse liehisang ho lokolloa ha lihlahisoa.

Leha ho na le lisebelisoa tse ngata tse thusang, baenjiniere ba ntse ba qobelloa ho etsa mosebetsi o itseng ka letsoho. Bangoli ba buka "Advanced Logic Synthesis"ba re ka linako tse ling baqapi e tlameha ngola mangolo ka Skill kapa Python ea mela e limilione tse peli ho theha lilaeborari ka lisele.

Lingoliloeng li boetse li ngolloa ho hlalosa litlaleho tse hlahisoang ke litsamaiso tsa EDA. Ha ho etsoa chip ho sebelisa theknoloji ea 22nm process, litlaleho tsena li ka nka ho fihla ho 30 terabytes.

DARPA e nkile qeto ea ho lokisa boemo le ho leka ho tiisa lits'ebetso tsa moralo. Setsing hape nahanahore mekhoa e teng ea ho etsa li-chips e siiloe ke nako. Mokhatlo qalisoa lenaneo la lilemo tse hlano OpenROAD, e ikemiselitseng ho hlahisa lisebelisoa tse ncha tsa ho iketsetsa mekhoa ea ho etsa li-chip.

Ke lenaneo la mofuta ofe

Lenaneo le kenyelletsa merero e mengata e sebelisang ho ithuta ka mochini le mahlale a marang-rang ho iketsetsa methati ea ho theha chip. E le karolo ea boikitlaetso li ntse li ntlafatsoa (setšoantšo sa 1) lisebelisoa tse fetang leshome. E latelang re tla bua ka ho qaqileng haholoanyane ka tse ling tsa tsona: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Semathi se Phallang ke sesebelisoa sa ho tsamaisa lilaebrari tsa RTL le GDSII. Tse latelang ke lifaele tsa database tseo e leng maemo a indasteri bakeng sa ho fapanyetsana tlhahisoleseling mabapi le lipotoloho tse kopaneng le li-topology tsa tsona. Tharollo e ipapisitse le theknoloji ea setshelo sa Docker. U ka tsamaisa Flow Runner ka leru le sebakeng sa heno. Tataiso ea ho kenya e sebakeng sa polokelo ea semmuso ho GitHub.

Phetoho ke tharollo ea leru e thehiloeng ho thuto ea mochine, e ikarabellang bakeng sa ho beha likarolo holim'a chip le ho iketsetsa routing. Ka lintlha tse ling, li-algorithms tse bohlale li eketsa katleho ea sesebelisoa ka 2-10% ha e bapisoa le mekhoa ea khale. Ho phaella moo, ho kenya ts'ebetsong marung ho etsa hore ho be bonolo ho eketsa. Tataiso ea ho kenya le ho e lokisa e fumaneha hape polokelong.

TritonCTS - sesebelisoa sa ho ntlafatsa li-pulses tsa oache tse fanoeng ho chip. E thusa mats'oao a lioache ho ea likarolong tsohle tsa sesebelisoa ka tieho e ts'oanang. Molao-motheo oa ts'ebetso o thehiloe ho Lifate tsa H. Mokhoa ona lipolao kabo ea mats'oao e sebetsang hantle ka 30% ha e bapisoa le mekhoa ea setso. Bahlahisi ba re nakong e tlang palo ena e ka eketsoa ho 56%. Khoutu ea mohloli oa TritonCTS le mangolo a teng ho GitHub.

OpenSTA - enjene bakeng sa tlhahlobo ea nako e tsitsitseng. E fa moqapi monyetla oa ho hlahloba ts'ebetso ea chip pele e hlile e bokelloa. Mohlala oa khoutu ho OpenSTA e shebahala joalo rata sena.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Sesebelisoa se ts'ehetsa litlhaloso tsa marang-rang tsa khoutu ea Verilog, lilaebrari tsa sebopeho sa Liberty, lifaele tsa SDC, jj.

Melemo le likotsi

Litsebi tse tsoang ho IBM le IEEE ketekahore litheknoloji tsa maru le ho ithuta ka mochini ke khale li lebisitsoe ho sebelisoa tlhahisong ea li-chip. Ka maikutlo a bona, morero oa DARPA o ka fetoha mohlala o atlehileng oa ts'ebetsong ea khopolo ena le tla beha qalo ea liphetoho indastering.

Hape ho lebelletsoe hore sebopeho se bulehileng sa OpenROAD se tla theha sechaba se matla ho potoloha lisebelisoa le ho hohela li-startups tse ncha.

Puisano: Morero oa OpenROAD o ikemiselitse ho rarolla bothata ba boits'oaro ba moralo oa processor
--Ото - Li-pexels - CC BY

Ho se ho ntse ho e-na le barupeluoa - laboratori e hlahisang li-chips tse thehiloeng Univesithing ea Michigan, e tla ba oa pele, ea tla leka lisebelisoa tsa OpenROAD tse bulehileng. Empa ha e e-so tsejoe hore na litharollo tse ncha li tla khona ho ba le phello e hlokomelehang litšenyehelong tsa lihlahisoa tsa ho qetela.

Ka kakaretso, lisebelisoa tse ntseng li ntlafatsoa tlas'a boetapele ba DARPA li lebelletsoe ho ba le phello e ntle indastering ea processor, mme merero e meng e mecha e tla qala ho hlaha sebakeng sena. Mohlala e ka ba sesebelisoa GEDA - e u lumella ho rala li-chips tse nang le palo e se nang moeli ea likarolo. gEDA e kenyelletsa lisebelisoa tsa ho hlophisa le ho etsa mohlala oa li-microcircuits le ho tsamaisa boto. Tharollo e ile ea ntlafatsoa bakeng sa li-platform tsa UNIX, empa likarolo tse ngata tsa eona li sebetsa tlas'a Windows. Tataiso ea ho sebetsa le bona e ka fumanoa litokomaneng tse leqepheng la morero.

Lisebelisoa tse fumanehang mahala li fa mekhatlo e ikemetseng le ho qala likhetho tse ling. Ho ka etsahala hore ha nako e ntse e ea, mekhoa e mecha ea OpenROAD ea ntlafatso ea lisebelisoa tsa EDA le moralo oa li-chip e ka fetoha maemo a indasteri.

Seo re ngolang ka sona ho blog ea rona ea khoebo:

Source: www.habr.com

Eketsa ka tlhaloso